回覆列表
  • 1 # 吉祥使者695

    EUV,EUV lighe Source,即極紫外光源。EUV光刻機目前只有荷ASMl能夠生產,它是集世界各國工業之精華集合而成的最先進的光刻機。EUV極紫外光源波長在10nm~124nm間的電磁輻射,對應光子能量為10ev到124ev只有被帶多個正電荷的離子束縛的電子才能發射EUV,在同步加速器軌道中,高速加速電子才會幅射EUV。

    目前,中國產光刻機與荷蘭ASMl生產的EUV光刻機存在幾代差,就是該EUV光刻機使用瑞典SKF集團生產的高階儀器軸承,世界僅此一家!(目前中國高階軸承基本全靠進口)。

    中國早在上世紀的1965年毛澤東時代就研製出65型接觸式光刻機,當時哪有荷蘭ASM光刻機制造業!(1970年荷蘭ASMl才開始創業);1980年清華大學研製出第四代分步式投影光刻機,光刻精度達到微米級,當時接近國際先進水平,同時與美國4800DSW處於同一水平。很可惜,那時的中國,在光刻機制造及運10大飛機等重大工程製造上按下了停讓鍵!“造不如買”被米國怱莜了!從此20幾年,中國光刻機制造業由世界領先水平,走向停止不前之路,被人超越了!科技研發最害怕半途而廢,停止科技研發與投入,根本談不到科技創新!中國光刻機20幾年的科技研發進入世界先進行列的半導體光刻機產業,從此走上買買買的道路,不可持續的光刻機發展之路行路難。去年川普對華為、中興半導體晶片產業的制裁,顯現出我們半導體晶片的父母本一光刻機,顯得多麼無耐,多麼尷尬!花了錢交了貨款近2億美元至今3年了也收不到荷蘭ASMl EUV光刻機!可以講,就是花了錢也不到EUV光刻機!

    中國高階光刻機是我們急需發展的科技創新專案,是最大的現實的短板,存在代差是現實。中國與世界最先進的EUV光刻機存在著代差,目前,世界光刻機排名分別為:

    第一位:荷蘭ASMl EUV光刻機為100分;

    第二位:尼康25分;

    第三位:佳能20分;

    第四位:上海微電子(SMEE)5分。

    華人民最大潛能是抗極限制裁施壓的反作用力!每遇這種時間點才能啟用科技大發展,大突破!當年毛澤主席就是比當今還要惡劣的國際環境下取得了“二彈一星”的科技大飛躍!縮短與國外高階光刻機的差距沒有倢徑可走,只有集國家的制度優勢,集中半導體研發科學院所與半導體晶片製造企業,如華為等企業共同努力,共同攻關,在5年左右的時間裡實現彎道超車開發出自己的EUV刻機在路上!

  • 2 # 鑫夢齊緣2037661474

    在當今半導體產業竟爭白灼化的今天,荷蘭光刻機的ASML公司宣佈實現第100套極紫外光刻系統的出貨,至2021年年底就採用EUV光刻機光刻了2600萬片晶圓半導體材料。

    在半導體技術不斷髮展的時代,光刻的精度也在提高,2021年先進工藝就要進入5奈米至3奈米的工藝節點,極紫外光刻成為繞不開的獨木橋,EUV光刻機就是半導體龍頭企業競相購買的焦點。

    那麼今後,極紫外光刻技術怎樣發展?行業巨龍怎樣鑄就?中國又將在半導體產業上怎樣攻克光刻技術的難題?我就從相關媒體渠道的訊息知熟後,談談自己的認識。

    首先晶片製造領先大企,不停的爭買極紫外光刻裝置。

    晶片先進工藝製程的競爭形勢,用“得EUV者得晶片天下”來形容並不為過。象臺積電、三星電子等大企都在快速推進EUV的程序。促使EUV光刻機成為半導體巨頭們在先進工藝領域搶奪優勢的焦點。

    2020年以來,幾大晶片製造商的高層拜訪光刻機制造商ASML公司頻次增多,每個企業都希望在核心的EUV裝置奪得先機,謀求ASML提供更多的EUV裝置,也希望ASML協助更加順利地使用已經購買的EUV光刻機。

    ASML成為臺積電、三星、英特爾等三晶片製造商爭相拉攏物件,是由於半導體邏輯製程技術到達7奈米以下,由於線寬過細,必須使用EUV作為曝光媒介再加上EUV裝置產能有限,致使其成為香饃饃。

    其次在5奈米至3奈米的晶片製造過程中極紫外光刻是必須的。

    隨著半導體技術的發展,光刻的精度不斷提高,已由微米級經過多層級細化到目前的奈米級,曝光光源的波長也成為EUV線寬突破10奈米、7奈米、5奈米、3奈米工藝的關鍵。

    有關媒體訊息顯示,晶片製造的難點和關鍵點在於把電路圖從掩膜上轉移至矽片上,就需要透過光刻來實現。光刻的工藝水平直接決定晶片的製程水平和效能水平。若是用EUV光刻機,晶圓廠就能減少把晶片設計縮小所遇到的光學麻煩,在這過程中也能省去一些多重圖形曝光的步驟,在設計很好的情況下,是能夠除降低成本和縮短時間,提高良品率的。所以在ASML的EUV光刻機賣價高達每臺1.2億美元的情況下,晶片廠商都還在積極採購。

    在今後晶片先進工藝將會不斷推進,達到3奈米、2奈米,甚至是1奈米。那個時侯,EUV光刻技術將會發揮更大的作用。有關資料顯示EUV光刻技術將促使摩爾定律繼續發揮作用,即使工藝微縮到了1奈米後,摩爾定律也會繼續適用。

    再次EUV技術將會大力應用於儲存晶片,成為ASML極紫外光刻裝置的下一個大客戶。

    不僅邏輯晶片製造要用到EUV裝置,而且在今後美光、SK海力士等儲存晶片大廠在量產DRAM時也會用到EUV裝置。

    有關半導體專家指出,儲存器主要分為兩種:一種是DRAM,另一種是3D NAND。3D NAND競爭目前主要集中在晶片層數上,雖然也需要線寬的微縮化,但需求不那麼迫切。而DRAM儲存器則不同,如果要往1z(12~14nm)以下推進,就需要用到EUV光刻機。那時,將會有更多儲存器廠商訂購EUV裝置。

    據悉,目前已有廠商試著將EUV應用於1z DRAM的生產當中。有的正在為使用EUV光刻技術製造DRAM晶片的大規模生產做準備。這其中不乏有三星、SK海力士、美光等儲存晶片製造商,有訊息稱,他們正在尋找管理EUV裝置的工程師和行業人員,對是否採用EUV考量的關鍵在於晶片生產的成本和效率情況進行研究和論證。

    結果表明使用EUV在成本和效率上相比使得多重圖形爆光技術的優勢更加明顯。也!會進行成本效率分析,如果證明成本效率更優是會考慮採用。所以前期要投入資金進行相關工藝的探索和開發。

    最後要說明的是極紫外光刻不僅只有EUV光刻機,其供應商除ASML之外,還有日本廠商尼康和佳能。雖然EUV變得越來越重要,ASML的優勢也變得越來越明顯。然而,極紫外光刻產業又並不僅僅只有EUV光刻機。

    根據有關半導體專家介紹,與EUV相關的還包括光掩膜缺陷檢測和塗覆顯影等周邊裝置,以及光刻行業的關鍵材料。大部分還在日本廠商那裡的,如果EUV的匯入能促進整個工序的技術進步的話,與EUV沒有直接聯絡的工序數也會增加。

    國內裝置廠在前端塗膠顯影機與國際光刻機聯機的技術問題已經攻克並透過驗證,可以與包括ASML、佳能等國際品牌,以及上海微電子的光刻機聯機應用。

    目前,在中國已經量產的G線、I線、KrF等三大類光刻膠。都達到一定規模。如南大光電達到年產25噸193nm光刻膠產品,未來還將會攻關EUV光刻膠的技術瓶頸。

    還有就是解決光刻難題從非核心開始起步。

    國內要發展半導體產業,光刻技術是繞不開的一個坎,象國內目前這樣薄弱的基礎,短期內攻克EUV裝置並不大可能。

    有關行業專家也曾指出,高效能光刻技術對中國企業來說成本高昂,但是其戰略意義不容忽視。中國要推進完整的光刻工業體系發展,只能採取從低到高或是周邊裝置材料等的策略,EUV是整套產業鏈中最困難的一塊。

    電能消耗是EUV最大的問題,它是傳統光刻機的10倍,極紫外光的波長僅有13.5nm,投射後在晶圓表面曝光的強度只剩下前端光進入EUV裝置光路系統的2%。所以電耗對成本的影響又進而影響其技術研發。

    在電能和光源之外,還有光刻膠也是EUV技術需要面對的另一個問題。據資料表明,光刻膠對於光的敏感度表現於不同波長的光源也有差異,這就對EUV光刻機提出了一些特殊要求。即光刻機選擇的波長要和光刻膠對應的波長處於同一個波段,來提升光刻膠對於光源的吸收,達到更好地實現光膠化學變化。

    有關專家也表示,極紫外光刻雖然領先,也存在許多需要改進的空間。據此說明國內廠商要先在DUV等領域站住腳跟,從周邊裝置與材料切入,一步一步地解決晶片製造中存在的問題,打實基礎,強根固本,這是不是一個有效的策略呢!關注我會看到每天的分享,評論中互相學習進步。

  • 3 # 才才康養

    一、光刻機爭奪戰打響,EUⅤ光刻機成了二八佳人,人人爭搶。

    在半導體技術不斷髮展的今天,晶片製造的精度也在提高,2021年5奈米及3奈米的晶片就要在臺積電量產了,極紫外光刻機EUⅤ成了唯一的製造裝置;成了各晶片製造企業必須要購買的裝置!EUV光刻機也成了目前全世最紅的網紅,沒有之一,荷蘭ASML生產的EUⅤ光刻機就是唯一。

    先進晶片工藝製程的競爭形勢,用“得EUV者得天下”來形容那是恰如其分哪!目前臺積電、三星電子、英特爾等大企都在快速推進EUV的程序。促使EUV光刻機成為半導體巨頭們在先進工藝領域搶奪優勢的法寶。幾大晶片製造商的高層頻頻拜訪光刻機制造商ASML公司,謀求ASML的青睞,獲得好感,希望在核心裝置EUV奪得先機,購買更多的EUV裝置。由於半導體邏輯製程技術到達7奈米以下後,必須使用極紫外光刻機EUⅤ才能製造出更先進的5nm和3nm晶片,致使EUⅤ成為二八佳人,人人爭搶的物件。

    晶片先進工藝將會不斷推進,達到3奈米、2奈米,甚至是1奈米的時侯,EUV光刻機將會發揮更大的作用。荷蘭ASML的EUⅤ光刻機還會更加搶手,在晶片業行流傳著,搶到EUⅤ就會賺到大把鈔票,搶不到EUV,就只能等待被市場拋棄。

    二、中國產光刻技術的現狀

    最近這兩年的美國製裁,迫使華人,統一了思想,拋棄了被忽悠的“造不如買”的落後捱打思維,前所未有地意識到核心技術必須掌握在自己手上,大國神器只能靠自己研發製造;擁有自主智慧財產權的光刻機刻不容緩,已經上升到了國家戰略高變,並且成為了重中之重的最緊迫的事情。

    實際上光刻機一直以來都是國家科技重大專項專案之一,目前的發展還是有圈有點的,進展尚可。

    上海微電子承擔了國家重大專項“28奈米浸沒式分步重複投影光刻機研發,目前已成功併產業化”的專案,將在2021年交付第1臺28奈米工藝的中國產浸潤式光刻機。

    在中國光刻機整個專案中,華卓精科的雙工件臺情況良好,乾式和浸沒式樣機都已經出貨。

    啟爾機電的浸液系統也在2019年底通過了國家層面的專項稽核驗收,配套的研發和大批次生產的自動化生產基地也完成了建設。

    負責光學系統的長春國科精密在幾年前就通過了90奈米節點的光學系統驗收。

    在國家宏觀政策的諧調下,中科院長春光機所和上海光機所組建了新的北京國旺光學公司,吸收合併國科精密,目前已經完成了28奈米的光學系統攻關,現在又在向更高層級攻關,想來很快就會傳來好訊息!

    三、中國產光刻技術的解決辦法,中國晶片產業的希望

    有訊息說,華為輪值董事長徐直軍要加入中國上海微電子負責晶片產業鏈的開發,這絕對是中中國產業界尤其是晶片產業,一個非常大的新聞和好事情。

    徐直軍是華為研發的締造者之一,是最早的無線產品線Quattroporte,締造了包括無線產品等等一系列的產品和技術神話,成為業界最強大咖,在他帶領下,將歐美北電、朗訊、思科、諾基亞、愛立信等等世界一流企業挑落馬下,成就了華為5G通訊領域世界第一的寶座。

    華為之所以能夠快速彎道超車,從1G、2G、3G的摸防跟隨,到4G逐漸展路頭角,併到5G領域彎道超車,領先世界先進企業整整一代技術,其中一個關鍵要素_整合產品開發研發管理體系,這套由美國IBM初創的管理體系,經過華為多年的改進和最佳化,形成了獨特的、華為獨有的、具有強大戰鬥力的先進管理體系,這套管理系統可以進行全球大叢集,多地多產品聯合協調開發和管理;這套管理體系是端到端的模式,從客戶的需求到交付客戶手上,生產計劃管理來源於使用者也在最大程度上優質高效滿足使用者需求,是世界上最先進的管理體系。

    其實,我們中國從來都不缺人才,缺的是先進的管理體系和管理制度;缺的是如何將人才調動啟用起來。徐總此次走出華為,應該是國家管理層上、國內產業界和任正非老總的宏大布局,就是把華為的先進管理體系、華為的優良基因,狼性文化和執行力,也一起帶過去;跟微電子行業現有的技術能力和裝置結合起來,大大的加快中國晶片產業鏈的進度,快速提升中國晶片行業超歐趕美的速度,實現中國晶片產業鏈彎道超車,告別卡脖子的時代。

    在外國卡脖子的卡卡卡下,知恥而後勇的中國在科研領域的逐步增加投入,2019年已經居世界第2名,比世界第三的日本多了2倍左右。中國新智慧財產權申請書從2018年以來,已經超過美國達到世界首位,那麼以2025年為節點的中國製造高階轉型計劃肯定會一路向好。今年又是中國製造奮發突破的一年,讓我們拭目以待,祝福祖國更加繁榮昌盛。

  • 中秋節和大豐收的關聯?
  • 如何選養寵物狗狗?