首頁>Club>
9
回覆列表
  • 1 # FPGA三板斧

    FPGA中RAM的操作依賴於開發廠商提供的IP。每個廠家開發工具中都自帶一些常用IP。

    下面為您介紹RAM IP在xilinx的開發工具Vivado中的使用。

    1、開啟Vivado開發工具,點選IP Catalog,搜尋block,點選block Memory Generate,給IP起名,blk_mem_gen_0,選取memory的型別,本設計中使用Simple Port RAM,如圖1下:

    2、配置RAM IP A埠中的引數,配置資料位寬,RAM深度,如圖2所示:

    3、配置RAM IP B埠中的引數,配置資料位寬,RAM深度,如圖3所示:

    4、配置RAM的初始資料,可配置也可不配置,如果配置的話,需生成COE檔案,如圖4所示:

    圖 5 生成IP

    圖6 RAM 生成OK

    6、編寫RAM的讀寫程式碼,如圖7所示:

    7、例項化RAM IP核,如圖8所示:

    8、編寫RAM IP的測試檔案,如圖9所示:

    9、執行Vivado的模擬功能,觀看RAM的IP核的讀寫時序,如圖所示:

    圖10 RAM IP的寫時序

    圖11 RAM IP的讀時序

    以上步驟是RAM IP核使用基本步驟。有興趣的朋友可以按照本設計實踐一下,建立RAM IP ,模擬RAM IP。

  • 中秋節和大豐收的關聯?
  • 好女人多過墮落的女人。一個好女人勝過萬頃良田,我們該怎樣理解這句話?