回覆列表
  • 1 # 愛逗的小夥伴

    1,將modelsim模擬結果寫入.txt檔案,integer fid;initialfid = $fopen("dataout.txt","w");reg [6:0] cnt;always@(posedge clk or negedge rst)if(!rst) cnt <= 7"b0;else if(cnt==7"d100) $fclose(fid); else begin cnt <= cnt + 1"b1; $fwrite(fid,"%b",out); end 其中,out為所設計模組處理後的輸出,以二進位制的形式儲存到txt檔案中。2.在matlab中讀取資料,進行分析。

    if(data_bin(i1) == 49)||(data_bin(i1) == 48)break;endend這麼多語句,先計算長度檔案的長度,再將資料讀出,主要是因為資料檔案中,開頭那一部分可能都是x值,要先去掉這些無效的值。

  • 中秋節和大豐收的關聯?
  • 請問銀行對賬單,銀行存款餘額調節表儲存幾年?謝謝?