首頁>Club>
6
回覆列表
  • 1 # 一葦渡江看潮生

    PDK,全稱Process Design Kit,翻譯成「工藝設計套件」或「製程設計套件」,它是溝通IC設計公司、代工廠與EDA廠商的橋樑。

    具體來說,PDK是一組描述半導體工藝細節的檔案,供晶片設計EDA工具使用。客戶會在投產前使用晶圓廠的PDK,確保晶圓廠能夠基於客戶的設計生產晶片,保證晶片的預期功能和效能。

    所以,開始採用新的半導體工藝時,首先要做的事就是開發一套PDK,PDK用代工廠的語言定義了一套反映Foundary工藝的文件資料,是設計公司用來做物理驗證的基石,也是流片成敗關鍵的因素。

    PDK包含了反映製造工藝基本的元素:電晶體、接觸孔,互連線等。PDK的內容中包括設計規則檔案、電學規則檔案、版圖層次定義檔案、SPICE模擬模型、器件版圖和期間定製引數等,

  • 2 # 曉梅10068

    PDK 模型,是製造和設計之間溝通的橋樑,是類比電路設計的起始點。

    PDK是晶片設計流程中與EDA工具一起使用的特定於代工廠的資料檔案和指令碼檔案的集合。

    PDK的主要元件是模型,符號,工藝檔案,引數化單元和規則檔案。

    為了能提供不同級別、不同型別的各種服務,一套CA系統往往為每個使用者分配好幾個PDK,來滿足豐富的業務需求。

  • 中秋節和大豐收的關聯?
  • 保溫杯內蓋怕燙嗎?