首頁>財經>

1、總論:行業回暖疊加中國產替代,帶來中期維度板塊性機會

長電科技、通富微電、華天科技等三大封測廠合計全球市佔率超過 20%,具備全球競 爭力。長期視角相對成熟,具備中期維度的產業投資機會。封測重資產屬性強,產能利 用率是盈利的關鍵。在週期上行時,跨越平衡點後具有較高利潤彈性,需求和產能的矛 盾也會導致區域性漲價。

1.1、長電科技:管理改善疊加海思轉單,共鑄困境反轉

管理改善、海思轉單。原長電產能利用率修復,星科金朋大幅減虧,共鑄困境反轉。長 電科技 2019 年上半年受行業影響,產能利用率較低,2020 年有望提升,星科金朋大幅 減虧,且 A 客戶 SiP 封裝業務同比增長。

當前華為/海思重塑中國產供應鏈背景下,國內代工、封測以及配套裝置材料公司有望全 面受益,迎來發展機遇。長電科技作為封測代工龍頭,目前從先進封裝全面佈局到產能 水平均位居全球前三位、國內第一位,從 2019 年下半年起享受海思轉單紅利,營收端率 先迎來拐點;

公司管理層持續改善,具有 IDM 龍頭管理經驗。公司加快星科金朋減虧、整體扭虧進 度,同時有望在未來帶來更多 IDM 與公司協同;中芯國際、大基金入住,有息負債利率 有望藉助優惠貸款下降,財務費用率有望顯著改善。

長電科技在高階封裝技術(如 Fan-out eWLB、WLCSP、SiP、BUMP、PoP 等)已與 國際先進同行並行發展,在國內處於領先水平,並實現大規模生產。5G 時代對於射頻封 裝 SiP 需求提升,AiP 封裝模組已經正式用於 5G 手機,同時長電也具備面向手機 AP 與 PMIC 等封裝的 Fan-out 工藝。長邏輯下,長電科技受益於與先進封裝滲透的提升和價值 量的增加。

長電科技是國內封測龍頭標的,享受海思轉單疊加封測行業景氣度提升的雙重紅利,公 司管理整合、財務降費,業績彈性大。5G 時代,先進封裝滲透及價值量均在提升,長電 SiP/AiP/FOLWP 等佈局國內領先,率先受益。

1.2、通富微電:大客戶邏輯強勁增長,長期佈局儲存、先進封裝

大客戶邏輯強勁增長,長期佈局儲存、先進封裝。受益於 AMD、MTK、TI、ST 諸多大客 戶廠商,迎來國內客戶機會,收入端高速增長。中長期佈局:廈門通富投資 70 億(前期 只拿 10%股權),佈局先進封裝;合肥通富投資額 60 億,圍繞合肥長鑫佈局。

Fabless 模式下,AMD 憑藉代工廠先進製程趕超競爭對手的 IDM 模式。AMD 憑藉臺 積電先進代工工藝,在高階製程上反超 IDM 的競爭對手英特爾,消費級和伺服器 CPU 均 有望進入快速增長期。對於資料中心客戶,價格不是最關鍵的,運算效能更為重要,AMD 表現出與競品至少同等競爭力,並且已經獲得部分客戶認可;對於企業級科技,價格競 爭更加重要一些,然而英特爾第十代 Cascade Lake-X 單核均價(price-per-core)已經相 對第九代砍半降價,儘管競爭相對激烈,AMD 份額依然有略增長趨勢。

在行業景氣 beta 上行基礎上,通富微電受益於 AMD、MTK、國內客戶等多重 alpha, 合肥廠儲存佈局有望開始貢獻,廈門廠前瞻佈局先進封裝。同時,公司在收購蘇州及檳 城廠的謹慎折舊政策,有望在 2021 年逐漸釋放部分利潤。

1.3、華天科技:卡位 CIS、儲存、射頻封測,業績持續高增長

半導體封測產業景氣拐點,TSV 細分賽道形成漲價熱點。2019Q2 以來,封測行業景氣 度持續提升,產能利用率恢復。受益於強勁的多攝像頭滲透增長,千萬畫素以下 CIS 需 求提升,部分 CIS 設計廠、封測廠、8 寸晶圓廠鏈條出現供不應求情況,TSV 在 2019H2 呈現漲價的熱點局面。崑山廠佈局 TSV,需求旺盛,有望迎來量價齊升。崑山廠 2020 年 有望實現扭虧。

國記憶體儲封測卡位佈局,目標市場空間逐漸開啟。長江儲存第二階段招標,產能從 2 萬 片/月繼續提升至 5 萬片/月。公司與武漢新芯簽署合作協議,在封測領域開展合作。公 司在 Nor Flash、3D Nand Flash、DRAM 技術上儲備已久,是國內 Nor Flash 大廠的主要 封裝廠之一。未來隨著長存、長鑫放量,國記憶體儲封測市場將逐漸開啟。

Unisem 具備 5G 射頻佈局優勢,景氣提升。Unisem 的產品定位相對高階,且通訊、汽 車佔比高,受益於 5G 帶動的射頻升級,Unisem 景氣度持續修復。

固定資產構建是收入增長的基礎,南京廠在 2020 年開始量產。重要投資專案先後推動 天水廠、西安廠進入快速增長期。在 2007~2011 年,公司主要投資專案位於天水華;在 2013~2017 年,2015 轉債以及自有資金專案主要在華天西安落地,因此這階段華天西 安成為增長的主要動力;未來新增產能主要位於南京廠。

公司卡位佈局 CIS、儲存、射頻、汽車電子等上游領域封測,TSV-CIS 量價齊升,儲存 中國產替代放量在即,5G、新能源推動射頻、汽車電子持續升級。我們預計在產能利用率 提升的基礎上,公司盈利能力進一步修復。

1.4、晶方科技:量價齊升形成跨越式增長

半導體封測產業景氣拐點,TSV 細分賽道形成漲價熱點。2019Q2 以來,封測行業景氣 度持續提升,產能利用率恢復。受益於強勁的多攝像頭滲透增長,千萬畫素以下 CIS 需 求提升,部分 CIS 設計廠、封測廠、8 寸晶圓廠鏈條出現供不應求情況,TSV 在 2019H2 呈現漲價的熱點局面。

伴隨著多攝滲透率的提高,市場將會開啟新的成像變革。手機領域是影像感測器最大的 應用領域。未來手機攝像頭的需求依然強勁,其成長動力主要來自三攝、四攝對攝像頭 數量的提升。

定增預案及時擴產以應對緊張的需求,有望進一步增強公司的綜合競爭力。根據公司定 增預案,公司投入 14 億元於 12 英寸 TSV 及異質整合智慧感測器模組專案,達產後形成 年產 18 萬片的生產能力。預計新增年均利潤總額 1.6 億元。

光學賽道上游優質標的,量價齊升推動跨越式成長。公司作為國內 TSV 封裝龍頭,行業 格局清晰,受益於 TSV 漲價,產能順勢擴張,有望迎來量價齊聲。安防作為穩健的基本 盤,多攝驅動下適於 TSV 的 CIS 需求不斷增長,車載逐漸迎來開花結果,TSV 龍頭揚帆 起航。

2、封測:景氣週期上行,海思轉單持續催化

2.1、封測市場:國內封測市佔率逐步提升,中國產替代需求進一步加碼

國內封測行業持續發展壯大,直接受半導體景氣週期影響。國內晶圓代工廠仍處於追趕 過程,而封測行業已經躋身全球第一梯隊,全球邏輯電路的景氣程度會直接影響到國內 的封測廠商。封測行業直接受半導體景氣回升影響,國內封測廠是最直接受益賽道之一。

封測行業整合,大陸外延內生持續增長。長電科技併購星科金朋、通富微電併購 AMD 蘇 州/檳城廠、華天科技併購 Unisem。長電科技、通富微電、華天科技三大封測廠合計市 佔率已從 2011 年的 4.5%上升到了 2018 年的 20.5%。

全球封裝測試行業呈現強者恆強。根據 Yole 統計,2018 年全球 top25 封測廠商總體銷 售額達 270 億美元,幾乎佔據了整個 OSAT 市場(300 億美元)。從地域上看,中國臺灣 以 52%位居榜首,中國大陸第二(21%),第三為美國(15%),馬來西亞(4%)、南韓 (3%)、新加坡(3%)和日本(2%)。 在 Top 8 中,中國大陸有 3 家,長電科技(Top3)、 通富微電(Top6)、華天科技(Top7)。中國封測廠商已在全球競爭中佔據一席之地。

我們認為在當前華為/海思重塑中國產供應鏈背景下,國內代工、封測以及配套裝置材料公 司有望全面受益,迎來歷史性發展機遇!海思全球封測需求空間較大,且保持較高增速。 目前海思在臺灣封測為主,我們預計未來會逐漸向大陸轉移。

2.2、封測技術:更多 I/O、更輕薄化方向發展,先進封裝增增速更高

隨著半導體技術創新發展,高階封裝產品如高速寬頻網路晶片、多種數模混合晶片、專 用電路晶片等需求不斷提升,封測行業持續進步。根據《中國半導體封裝業的發展》,全 球封裝結束經歷五個發展階段。當前,全球封裝行業的主流處於以第三階段的 CSP、BGA 為主要封裝形式,並向第四、第五階段的 SiP、SoC、TSV 等先進封裝形式邁進。

國內封裝技術水平與外資封測企業仍然存在差距。國內封裝企業大多以第一、第二階段 的封裝技術為主,例如 DIP、SOP 等,產品定位中低端。隨著中國封測技術的創新步伐 加快,QFN、BGA、WLP、SiP、TSV、3D 等先進積體電路封裝形式逐漸進入批量化生產。 外資封裝測試企業已經實現全球生產資源配置,多采用 BGA、CSP、MCM、MEMS、FC 等 封裝形式,技術水平高於內資企業。

封裝技術圍繞更多 I/O 數、更輕薄化發展演進。如果按公司在配股說明書的分類方法, 以引線框架、基板類產品、晶圓級產品來劃分,封裝技術經歷了從最初通過引線框架到 球柵陣列(BGA)、倒裝(FC)、晶圓級封裝(WLP),技術發展方向就是更多的 I/O、更 薄的厚度,以承載更多複雜的晶片功能和適應更輕薄的移動裝置。WLP 又經歷了從 Fanin(Fan-in WLP 一般稱為 WLCSP)向 Fan-out(Fan-out WLP 一般簡稱為 FOWLP)的演 進,Fan-out 可實現在晶片範圍外延伸 RDL 以容納更多的 I/O 數。

先進封裝延續摩爾定律,市場規模持續增長。Yole 資料顯示,儘管 2019 年半導體產業 將出現放緩,然而先進封裝市場仍將保持增長趨勢,同比增長約 6%。2024 年先進封裝 市場規模將達 440 億美元,2018~2024 CAGR 達 8%的成長,同一時期,Yole 預測傳統 封裝市場 CAGR 為 2.4%,IC 封裝產業整體 CAGR 為 5%。

隨著智慧駕駛、AIOT、資料中心及 5G 等市場的成熟,Yole 預計 2.5D/3D TSV 技術、FanOut 技術、ED 技術等先進封裝技術的市場規模 CAGR 將保持高速增長,分別達 26%、 26%、49%。晶方科技目前主要技術集中在 WLSCP、TSV、FAN-OUT 等先進封裝工藝、 LGA/MOUDLE 等晶片級封裝工藝。

除傳統 OSAT 企業,近年一些 IDM 和 Foundry 也在內部開始發展封測業務以提升自 身生產效率和自主服務能力。這些業務多集中在先進封裝技術,使得這些廠商在封測行 業持續保持先進性和核心競爭力,如臺積電的 SoIC(系統整合晶片封裝)和 WoW(16 奈米晶圓堆疊晶圓)等 3D IC 封裝技術,預期 2021 年進入量產。

2.3、WLCPS:晶圓級封裝逐漸成熟,TSV 平臺工藝需求廣闊

晶圓級晶片尺寸封裝(WLCSP)是將晶片尺寸封裝(CSP)和晶圓級封裝(WLP)融合為 一體的先進封裝技術。晶圓級晶片尺寸封裝(WLCSP)結合 CSP 和 WLP 優點,先在整 片晶圓上進行封裝測試,無需經過打線和填膠程式,封裝後的晶片尺寸與裸晶片幾乎一 致。因此,晶圓級晶片尺寸封裝(WLCSP)的封裝方式,不僅能明顯縮小 IC 尺寸,符合 移動電子產品對高密度體積空間的需求,同時,由於晶片可以以最短的電路路徑,通過 錫球直接與電路板連線,還能大幅度提升資訊傳輸速度,有效降低雜訊干擾機率。與傳 統封裝技術QFP和BGA封裝產品相比,晶圓級晶片尺寸封裝的產品比QFP產品小75%、 重量輕 85%,比 BGA 尺寸小 50%、重量輕 40%。

傳統封裝方式是先將晶圓劃片成顆粒晶片,經測試為合格晶片後,將其放到引線框架或 封裝襯底(基板)上,而後再進行封裝測試,產業鏈涉及晶圓廠、基板廠、封裝廠、測 試廠。而晶圓級晶片尺寸封裝是先對晶圓進行封裝、測試作業,然後再對封裝測試後的 晶圓進行切割。① WLCSP 封裝能將傳統封裝的產業鏈中的基板廠、封裝廠、測試廠整 合為一體,使得晶片的生產環節大幅減少,生產週期大大縮短,進而提高生產效率,降 低生產成本;② WLCSP 封裝能減少封裝前合格晶片的測試環節,並且省去諸多材料, 進而有效降低封裝成本;③ WLCSP 封裝是晶圓製造技術的延伸,極大地縮小了半導體 後段(即封裝)與前段(即晶圓製造)的技術差異,容易實現半導體後段與前段的技術 對接。④ WLCSP 封裝是可把 IC 設計、晶圓製造、封裝測試、基板廠整合為一體的先進 封裝形式,優化了產業鏈,解決專業代工模式在 IC 設計、晶圓製造、封裝測試、基板廠 等各環節的技術與標準對接問題,更加推動了專業代工模式的發展。

晶圓級晶片尺寸封裝是在整片晶圓上進行封裝後再切割成晶片,而傳統封裝是將晶圓先 切割成晶片後,再對晶片實施單獨的封裝。通常,WLCSP 的封裝成本是按照晶圓數計量 的,與切割後的晶片數無必然聯絡,而傳統封裝的封裝成本是按封裝晶片的個數計量的。 因此,WLCSP 的封裝成本隨晶圓尺寸的增大和晶片數量增加而降低。在消費類電子產品 輕、小、短、薄化的市場發展趨勢下,晶圓級晶片尺寸封裝的成本優勢愈加明顯,將逐 步擠佔傳統封裝的市場份額。

WLCSP 封裝是矽通孔技術的基礎,兩者工藝十分相似,通過掌握 WLCSP 封裝技術利於 快速進入矽通孔技術領域,在未來 3D 封裝技術中扮演主要角色。矽通孔 TSV(ThroughSilicon Via)技術是通過晶片與晶片間、晶圓與晶圓間製作垂直導通,實現晶片之間互連 的先進封裝工藝。與其他 3D 封裝工藝不同,TSV 能使晶片在三維堆疊的密度最大、外 觀尺寸最小,大大改善晶片速度和低功耗的效能,被譽為引線鍵合(Wre Bonding)、 TAB 和倒裝晶片(FC)之後的第四代封裝技術。

伴隨 TSV 技術的成熟以及對高效能運算、5G、人工智慧等新興領域的巨大需求牽動下, 3D TSV 封裝技術對應市場空間廣闊。根據 Yole 預測,堆疊技術市場空間將由 2018 年 的 17.58 億美元,增長至 2023 年的 57.49 億美元,2018-2023 CAGR 高達 27%。

對應下游應用領域,儘管消費類市場仍為主流,其市場份額超過 65%,但催發 3D TSV 等堆疊技術的真正驅動力則是高效能運算(HPC),Yole 預計,2023 年其市場規模將 達 23.24 億美元,市場份額將從 20%(2018 年)提升至 40%(2023 年),是未來 4 年成長最快的需求領域,2018-2023 CAGR 高達 46%。就封裝營收而言,這相當於 2018 年營收的 6 倍以上增長。

TSV 經過多年發展,已進入 MEMS 多數應用領域。目前,2.5D/3D 堆疊技術已成為滿足 AI 和資料中心等應用效能需求的唯一解決方案。堆疊技術已被應用於高、中、低端市場 的各種硬體,包括 3D 堆疊儲存、圖形處理單元(GPU)、現場可程式設計門陣列(FPGA)和 CMOS 影象感測器(CIS)等。

2.4、SiP:整合化封裝及 5G 射頻要求提升,SiP 需求大增

5G 對於封裝需求要求提升,器件封裝微小化、複雜化、整合化。5G 時代採用高頻的毫 米波段對應更小尺寸的射頻元件,其封裝複雜度大幅提升,對封裝過程中的連線、墊盤 和通孔等結構精密度要求更高,避免妨礙到晶片上的射頻功能。5G 時代,由於越來越多 的頻段需求,在射頻前端模組化趨勢下,RF 封裝呈現整合化,SiP 解決方案會得到更加 廣泛的應用

整合化方案尺寸小、響應快、效能好,2018 年佔比射頻元件比重超過 50%。手機輕薄 化不斷提升,以及射頻元件數量的增加,因而在有限的內部空間,射頻前端呈現了整合 化的趨勢。整合化除了在減少尺寸之外,還具有節省客戶除錯時間,縮減手機研發週期 和提供更好的半導體效能兩大優點。未來射頻前端整合化佔比會越來越高,根據 Qorvo 資料,在 2017 年已經達到了 50%,2018 年則成為最主要方案。

材料的多樣性要求先進封裝技術,SiP 將脫穎而出。隨著移動通訊技術的升級,射頻芯 片採用的工藝也越來越複雜,對 PA 而言最好的工藝是 GaAs,對天線開關而言最好的工 藝是 SOI,濾波器則是採用壓電材料。SOC 方案難以整合這些不同材料;系統性封裝 SiP 才能滿足這些要求。因而 5G 時代的射頻前端整合化,將採用先進封裝技術。根據 Yole 預測,移動端 RF SiP 市場規模將由 2018 年的 33 億美金增長到 2023 年的 53 億美金。 射頻前端的 SiP 封裝將進入一個快速增長期。其中,整合 PA、Filter、Swtich 的 PAMid 增 長最快,在射頻前端模組中的比重從 23%增長到 39%。

SiP 封裝工藝,是以一定的工序,在封裝基板上,實現阻容感、晶片等器件的組裝互連, 並把晶片包封保護起來的加工過程。封裝流程可以直接影響晶片的散熱、電性、機械性 能等表現,決定了整個系統的效能、尺寸、穩定性和成本,在工藝上也需要從系統互聯、 保護和散熱等角度進行整體設計,SIP 將一些晶片中段流程技術帶入後段製程,將原本 各自獨立的封裝元件改成以 SiP 技術整體整合,有效縮小封裝體積以節省空間,同時縮 短元件間的連線線路而使電阻降低,提升電性效果,最終實現微小封裝體取代大片電路 載板,有效地縮小了產品的體積,順應了產品輕薄化的趨勢。

蘋果推動了 SiP 模組的加速滲透並不斷提升整體效能。在 iPhone 6s 手機中,蘋果就已 在內部模組中採用了 apple watch1 中 S1 採用的系統級封裝技術,為新加入的線性馬達 營造空間。繼 SiP 封裝技術被引入觸控晶片模組、指紋識別 IC、3D Touch 模組和多顆 RFPA 顆粒後, iPhone7 在 wifi 模組也採用了 SiP 封裝。同時 SiP 模組加速滲透也為 iPhone 整體效能提升帶來切實幫助,由於 SiP 封裝相較傳統封裝有空間利用率優勢,使 得 iPhone7 在配備升級尺寸規格的 Taptic Engine 後,還能將電池容量從 2650mAh 提升 到 2900mAh。

根據 TechInsights 的拆解分析,Apple Watch Series 3 和 Apple Watch Series 4 都採用了 SIP 的設計,Apple Watch 中封裝了十幾款主要晶片和幾十款離散式元件,持續挑戰系統 級封裝(SiP)設計的極限。TechInsights 在 Apple Watch Series 3 中發現了高通 MDM9635M——Snapdragon X7 LTE 調變解調器,高通 PMD9645 電源管理晶片(PMIC) 和一個 WTR3925 RF 收發器,Apple/Dialog PMIC、Avago AFEM-8069 前端模組,以及Skyworks SKY 78198 功率放大器等重要的零元件。

2.5、AiP:從 SiP 到 AiP,單機價值量進一步提升

AiP(Antennas in Package)即基於將天線與射頻前端模組整合在系統級封裝中的封裝 工藝。AiP 技術很好地兼顧了天線效能、成本及體積,我們通過三星 S10 5G 的拆機可以 發現,AiP 封裝模組已經正式用於 5G 手機,在基於高通方案的 5G 手機中,一共採用 了三個基於 AiP 封裝的高通 QTM052 模組,單機封裝價值量進一步提升!

高通從 2018 年 8 月起陸續釋出 QTM052 與 QTM525 毫米波模組,通過 AiP 封裝將 收發器、PMIC、PA 與天線整合在一起,達到縮小手機厚度與減少 PCB 面積,取代傳 統天線與射頻模組的分散式設計。相比 AoC(片上天線,antenna on chip), AiP 採用了 低損耗襯底代替矽,能夠實現 2-4 倍的增益效果。

2.6、FOWLP:封裝技術持續升級,FOWLP 保持高速增長

扇出型晶圓級封裝的英文全稱為 Fan-Out Wafer Level Packaging,即 FOWLP,是指將來 自於異質製程的多顆晶粒結合到一個緊湊封裝中的新方法。由於對更薄功能和增加 I / O 數量裝置的需求,扇出式 WLP 受到越來越多的關注。隨著 FOWLP 技術不斷髮展,從單 晶片應用拓展至 MCP(多晶片封裝)及 3D PoP(堆疊式封裝)等,應用於更高 I/O 晶片 的整合中。

FOWLP 充分利用 RDL 做連線,實現互連密度最大化。傳統的 WLP 封裝多采用 Fan-in 型態,應用於低接腳(Pin)數的 IC。當芯片面積縮小的同時,晶片可容納的引腳數減 少,因此變化衍生出擴散型 FOWLP 封裝形態,實現在晶片範圍外充分利用 RDL 做連線, 以此獲取更多的引腳數。在一個環氧行化合物(EMC)中嵌入每個裸片時,每個裸片間 的空隙有一個額外的 I/O 連線點,這樣 I/O 數會更高並且的對矽利用率也有所提高,使 互連密度最大化,同時實現高頻寬資料傳輸。

FOWLP 降低封裝成本,減少封裝厚度。相比於扇入型封裝技術,FOWLP 的優勢在於: 減小了封裝厚度、擴充套件能力(用於增加 I / O 數量)、改進的電氣效能、良好的熱效能以 及無基板工藝。扇出 WLP 在結構上類似於傳統的球柵陣列(BGA)封裝,但是消除了昂 貴的襯底工藝。

FOWLP被廣泛應用,市場規模保持高速增長。FOWLP 封裝最早在2009~2010年由Intel提出,僅用於手機基帶晶片封裝。一直到 2015 年以前, FOWLP 市場較小且主要應用於 基帶、RF、PMU 等單晶片扇出封裝。2016 年,臺積電將 InFO 技術應用於 iPhone 的 AP 晶片,實現高密度扇出封裝,並逐漸應用於智慧手機、HPC、通訊等各種領域,市場空間 在 2016~2017 年爆發。根據 yole 最新預測,FOWLP 市場規模將在 2019~2024 年的復 合增長為 19%,2024 年市場空間將達到 38 億美元。日月光和臺積電一樣,在 2016 年 實現 FOWLP 量產,安靠、矽品、力成在 2017 年緊接著佈局。

3、半導體:三大驅動力推動全球週期強勢復甦

在 2019 年全球電子行業整體進入了週期向上的通道之中,而其中的原因我們認為主要 是三大驅動力:消費電子、通訊、以及資料中心,三大板塊同時共振復甦所帶來的。

從資料中心回暖看到了英特爾 CPU 的恢復,SK 海力士 Dram 業務的復甦;TWS 耳機在 2019 年熱賣後臺積電流片排單不斷上修,同時 NOR Flash 供給吃緊,預計 2020 年 Q1 價格有可能繼續上漲;預期消費電子中佔比最大的手機板塊伴隨著5G的逐步建設成型, 對於未來手機內 Dram 的高增長將會是未來整個儲存行業的,乃至於半導體行業的另一 大重要催化。

我們認為需求端被貿易摩擦、巨集觀經濟下行影響所推遲和壓抑之後,本輪“芯”拐點重 要特點將是需求的復甦比以往更加強勁,資料中心、移動端、AIOT、汽車電子將持續會 有新的爆點。

3.1、從產業資料看全球週期復甦

2019 年全球積體電路的單月產量也印證了產業趨勢以及全球週期在逐步上升,且自 19Q3 的 7 月份開始,積體電路的單月產量已經擺脫了前期的萎靡之態,實現了從 7 月 份開始的 14%、23%、26%、28%、22%的逐月同比高增長。再看到逐月的全球半導體 銷售金額的佔比情況來看,中國地區的銷售額佔比也是穩中略增長。

同樣根據美國半導體產業協會的統計,在 2019 年全球的半導體單月銷售額也進入了連 續 6 月環比下降後的恢復期。而同時我們認為 5G 基站在 2020 年得以初步廣泛鋪設的大 環境之下,消費電子,尤其是智慧手機將迎來多季度下滑後的新增長,從而進一步帶動 半導體行業的週期性復甦。

從行業資料再到半導體廠商資料,我們選取了中國臺灣 IC 設計公司以及 IC 製造公司的 月度經營資料,均可以看到隨著全球週期的復甦,行業趨勢的向上,無論是設計還是制 造均創造了過往三年內新高,再次印證目前半導體產業週期復甦景氣向上的產業趨勢

同樣對應臺積電的情況,在 2019 年中,隨著消費電子中 TWS 耳機火爆市場後,我們跟 蹤到臺積電對於消費電子端,特別是智慧手機業務的影響後,流片量逐月上調,而對應 到我們在 19Q3 初對於臺積電業績的上修也被再次印證。看到目前臺積電在 12 月份的經 營資料,12 月份臺積電實現營收 1033 億新臺幣,同比增長 15%;臺積電 Q4 季度的合 並營收達到了 3172.37 億新臺幣,環比增長了 8.26%,同比增長了 9.48%,創造了單季 營收新紀錄,超出華爾街一致預期。

臺積電 Q4 符合預期,Q1 營收、毛利率超預期。臺積電 Q4 收入 103.9 億美元,環比 +8%,毛利率 50.2%超此前指引上限;EPS NT$4.5,+15%QoQ/+16% YoY。收入、毛 利率、EPS 均高於市場預期和公司之前指引。1Q20 收入指引 102~103 億美元,環比1.7%/同比+45%YoY,超出市場樂觀預期。同時 1Q20 產能利用率環比指引繼續提升。

資本開支繼續上調,看好後續景氣度。先進製程持續升級,資本開支繼續提高。6nm 預 計 2020 年底量產,5nm 預計 2020 年 3 月可以開始量產。臺積電 2018/2019 年資本開 支 105、149 億美元,2020 年資本開支預計 150~160 億美元(此前預期 130~140 億美 元)。未來如果 3nm 技術順利推進,資本開支將不會下降。

我們覆盤臺積電二十年成長,每一輪資本開支大幅上調後均有 2~3 年的顯著高增長。 覆盤臺積電二十年曆史,基本上每十年出現一次資本開支連續大幅上調,之前分別是 99~01、09~10 年。並且,每次資本開支大幅上調後的三年,營收復合增速會顯著超過 其他年份。以 09~10 年為例,資本開支從 27 億美元提升至 64 億美元,躍升式提升,此 後保持於高位,相應著製程上在 11 年推出經典的 28nm 產品。本輪 7nm/7nm EUV 同樣 是重要的製程節點,面向 5G/IoT/AI 等應用爆發,臺積電資本開支再度進入躍遷式提升, 從 2018 年的 105 億美元提升至 2019 年 149 億美元,2020 年還將繼續提升。

短期需求爆發疊加科技大週期,半導體產業向上啟動。產業週期 V 形反轉,需求端被貿 易摩擦、巨集觀經濟下行影響所推遲和壓抑之後,本輪“芯”拐點重要特點將是需求的復 蘇比以往更加強勁,資料中心、移動端、AIOT、汽車電子將持續會有新的爆點。歷史上 經歷數輪科技大週期,本輪大週期有望正式啟動。過去 70 年,科技創新與需求驅動雙軌 並行,每十年有一輪科技創新,每輪經歷硬體、媒介、商業模式的變更。

2020 年繼續領先行業增速。半導體行業拐點到來,增速回暖,庫存健康,稼動率提升。 2020 年半導體行業(ex-Memory)增速預計 8%,晶圓代工增速預計 17%,臺積電預計 2020 年同比+20%繼續領先行業增速成長。臺積電庫存位於健康水位。7nm、12/16nm 需求強勁,5G、HPC、IoT 有望推動先進製程增長,預計 2020 年 5G 手機滲透率約 15% 左右。28nm 整體產能充足。8 寸晶圓由於指紋、PMIC、Driver IC 的需求,產能較為緊 張。

3.2、中國產化持續推進,全產業景氣向上

伴隨著電子板塊,尤其是半導體產業的全球週期的復甦,中國的中國產化也同步在全力推 進,而對應的中國產晶片也迎來了發展機遇。

目前中美形勢在 2019 年中美貿易局勢忽冷忽熱,美國晶圓產能部分外遷,同時全球半 導體龍頭資本開支放緩。而中國電子產業鏈中國產化進度加速提升,而對應的中國產產能稼 動率已經達到了一個新高點。從中芯國際在 19Q2 和 19Q3 的稼動率分別來看為 91%和 97%,另外國內封測廠稼動率全線提升。中美貿易局勢的不確定加上中國產化的全力推進, 我們認為在目前整個半導體板塊的中期供給端的失衡下,中國半導體產業將會實現順利 切入,進一步加快中國產化進度。

中國半導體供應鏈長期市值空間探討:東方半導體產業鏈生態重塑,與以往不同,建立 完整、獨立自主核心技術的半導體工業體系是大勢所趨,半導體大國、強國崛起之路, 獨立自主的核心技術才是王道,科技紅利之有效研發投入,才是建立獨立自主核心技術 體系的唯一手段。根據 Wind 資料顯示,中國半導體進口額佔全球半導體銷售額 65%, 巨大國內市場內需、終端廠商能力、摩爾定律放緩推動國內公司進入良性快速發展,隨 著科技紅利的迭加,市場份額的切入,相比海外巨頭 500 億美金、千億美金市值,中國 公司第一步在市場縱深領域出現一批千億級別公司是大概率事件。

繼設計板塊表現靚麗之後,“芯”製造即將大象起舞。半導體中國產替代在今年邁向了業績 落地,彈性最高的設計板塊已經紛紛交出靚麗的報表,中國產化驅動力正從設計向製造環 節逐漸延伸。全球半導體“芯”拐點、中期供需拐點明確,華為引領中國產半導體全面突 破,預計將是設計、製造、封測的全方面共振。

半導體行業景氣提升,資產端的價值也將增加。晶圓廠、封測廠的產能利用率打滿,訂 單交期拉長時,行業有可能呈現半導體產能資源緊張的局面。中國產替代浪潮下,國內製 造、封測產能利用率快速修復。中芯國際 19Q3 財報超預期,產能利用率快速提升, 14nm 正式量產;合肥長鑫逐步提高 19nm DRAM 晶片的月產能,8Gb DDR 通過多個大客戶認 證,預計年底交付;國內封測廠 19Q3 產能利用率普遍快速提升,長電紹興專案火速落 地。

中國本土客戶的需求迅猛增長推動產能利用率提升。19Q3 來自國內客戶收入比重為 60.5%,同比增加 3 個百分點,環比增加 3.6 個百分點。19Q3 來自於國內客戶的收入環 比增長 10%, 中國產替代需求強勁,物聯網、智慧家居、消費電子等諸多領域需求旺盛, 本土客戶大量訂單的匯入,使得晶圓製造和封測環節產能利用率在 Q2~Q3 快速提升。

3.3、資產端進入供給緊張,裝置投資額即將反轉

繼設計板塊表現靚麗之後,“芯”製造即將大象起舞。半導體中國產替代在今年邁向了業績 落地,彈性最高的設計板塊已經紛紛交出靚麗的報表,中國產化驅動力正從設計向製造環 節逐漸延伸。全球半導體“芯”拐點、中期供需拐點明確,華為引領中國產半導體全面突 破,預計將是設計、製造、封測的全方面共振。

半導體行業景氣提升,資產端的價值也將增加。晶圓廠、封測廠的產能利用率打滿,訂 單交期拉長時,行業有可能呈現半導體產能資源緊張的局面。中國產替代浪潮下,國內製 造、封測產能利用率快速修復。中芯國際 19Q3 財報超預期,產能利用率快速提升,14nm 正式量產;合肥長鑫逐步提高 19nm DRAM 晶片的月產能,8Gb DDR 通過多個大客戶認 證,預計年底交付;國內封測廠 19Q3 產能利用率普遍快速提升,長電紹興專案火速落 地。

全球半導體裝置投資下滑邊際拐點已出現,邊際跌幅收窄。2019 年前三季度全球半導體 裝置銷售額分別為 138/133/149 億美元,同比-19%/-20%/-6%。

北美半導體裝置銷售額進入拐點。北美半導體裝置製造商出貨金額 21.2 億美元,創近 15 個月新高。北美裝置製造商銷售額連續 2 個月增長,主要因晶圓代工業者增加先進位制 程裝置投資,且儲存器庫存恢復較健康水位,業者逐步恢復投資動能

裝置投資進入上行趨勢,明年回暖,後年創新新高。根據 SEMI 預測,2019 年全球半導 體裝置銷售金額為 576 億美元,同比下滑 10.5%, 2020 年有望逐漸回暖,增速為 5.5%; 2021 年再創立新高,達到 688 億美元。

根據 IC Insights,2020 年全球將有 10 座新的 12 寸晶圓廠進入量產階段,全球晶圓產 能將新增 1790 萬片 8 寸約當晶圓,2021 年新增產能將創歷史新高達 2080 萬片 8 寸約 當晶圓。新增產能主要來自於南韓大廠三星及 SK 海力士,以及長江儲存、武漢新芯、華 虹巨集力等中國大陸半導體廠。

臺積電資本開支繼續上調,看好後續景氣度。先進製程持續升級,資本開支繼續提高。 臺積電 2018/2019 年資本開支 105、149 億美元,2020 年資本開支預計 150~160 億美 元(此前預期 130~140 億美元)。未來如果 3nm 技術順利推進,資本開支將不會下降。

中國大陸半導體裝置市場在全球比重中逐步提高。根據 SEMI,大陸裝置市場在 2013 年 之前佔全球比重為 10%以內,2014~2017 年提升至 10~20%,2018 年之後保持在 20% 以上,份額保持上行趨勢。根據 SEMI,大陸裝置市場需求有望反轉,2019 年前三季度 中國市場半導體裝置銷售額分別 23.6/33.6/34.4 億美元,同比-11%/-11%/-14%。預期 後續隨著國內晶圓廠投建、半導體行業加大投入,需求會重返景氣。

國內廠商在全部環節所需裝置領域均有所佈局。雖然目前國內半導體裝置仍較為依賴進 口,但從產業佈局角度來看,國內廠商佈局極為完善,幾乎覆蓋半導體生產製造過程中 每個環節所需的所有主要裝置。拉晶、光刻、沉積、刻蝕、清洗、檢測、封裝等各個環 節均有多家國內廠商佈局覆蓋。

中芯國際、長江儲存、合肥長鑫等國內廠商投資擴張,國內裝置市場需求增加。中芯國 際受益華為訂單轉移、行業景氣度爆棚擴產,長江儲存產能從 20K 擴到 50K,新一輪招 標結果持續出爐,中國產裝置比例提升。合肥長鑫一期專案向 40K 擴產中,後續有望加大 中國產裝置扶持力度。中微、北方華創在刻蝕、金屬退化、爐管類裝置等領域實現部分突 破,部分裝置等 0 到 1 訂單落地。中國產裝置、材料鏈有望迎來歷史性發展機遇。

國內裝置廠商佈局逐步落地,實現替代潛力大。中微公司產品、服務、技術實力強,在 刻蝕領域價效比優於海外競爭對手,未來具備橫向拓展潛力。北方華創產品線分佈廣, 在 PVD、刻蝕、爐管、清洗等多領域處於中國產裝置突破領先前沿。精測電子武漢精鴻、 Wintest、上海精測三大布局,武漢精鴻已經在長存獲得重複訂單,有望成為國內半導體 裝置新星。長川科技從模擬檢測切向數字檢測、探針臺,中國產替代需求下研發投入迅速 增加,產品升級加快。

……

最新評論
  • 1 #

    長電科技,通富微電都是虧損哎

  • 2 #

    為了炒個股票,寫了那麼多,也夠拼的

  • 神秘買家6億元拍走,樂視大廈究竟歸誰?
  • 龍虎榜分析:遊資大佬節前加倉醫藥口罩股,節後會大漲嗎