首頁>科技>

核心觀點

就有關二戰南韓前勞工索賠權的問題,日本和南韓未能達成一致,從而引發兩國之 間的貿易戰。日本經濟產業省宣佈,自7 月 4 日起,日本將限制對南韓出口包括“氟 聚醯亞胺”、“光刻膠”和“高純度氟化氫”3 種半導體及 OLED 材料。

從日韓貿易戰可以看出,半導體材料有著極其重要的地位,關鍵時刻能作為維護國 家利益的重要手段。半導體材料處於半導體產業鏈的上游,是半導體行業的物質基 礎。材料品質的好壞決定了最終積體電路晶片品質的優劣。因此,半導體材料在整 個產業鏈中有著重要地位,是整個半導體產業鏈的重要支撐。

從市場規模看,2018 年全球半導體材料銷售額519.4 億美元,銷售額首次突破 500 億美元創下歷史新高,銷售額增速 10.65%,也創下了自 2011 年以來的新高。目前 DRAM 市場供過於求使得 2019 年 DRAM 的價格暴跌 42.1%,主流廠商採取減產 來緩解市場庫存壓力。同時受中美貿易戰以及日韓貿易戰的影響,預計今年半導體 材料的增速將放緩。明年隨著 DRAM 市場的恢復以及 5G 帶來的需求增加,半導體 市場恢復增長。我們預計 2019-2021 年,全球半導體材料銷售額分別為 540.2 億美 元、602.3 億美元和 674.6 億美元,增速分別為4%、11.5%和 12%。

2018 年大陸半導體材料銷售額 84.4 億美元,增速 10.62%,銷售額同樣創下歷史 新高。中國大陸目前正在承接全球半導體產業第三次轉移,國內半導體具有高景氣 度。受益於國內晶圓廠的大量投建,以及 5G 商用落地後帶來的需求增量,國內半 導體材料的需求將加速增長。據 SEMI 估計,2017-2020 全球將有 62 座新晶圓廠 投產,其中 26 座坐落中國大陸,佔總數的 42%。半導體材料屬於消耗品,國內晶 圓廠數量的增加,將帶動半導體材料需求的增長。我們預計 2019-2021 年,大陸半 導體材料銷售額分別為 90.3 億美元、 104億美元和 122.2億美元,增速分別為 7%、 15.1%和 17.6%。

半導體材料中國產替代空間巨大。半導體材料屬於高技術壁壘行業,國內由於起步晚, 整體相對落後,目前半導體材料高階產品大多集中在美國、日本、德國、南韓、中 國臺灣等國家和地區生產商。但在一些細分領域,國內已有企業突破國外技術壟斷, 在市場佔有一定的份額。

 光刻膠:北京科華目前 KrF(248nm)光刻膠目前已經通過中芯國際認證,ArF (193nm)光刻膠正在積極研發中;晶瑞股份子公司蘇州瑞紅 i 線光刻膠已向中 芯國際、揚傑科技、福順微電子等客戶供貨,KrF(248nm)光刻膠完成中試, 產品解析度達到了 0.25~0.13μm 的技術要求,建成了中試示範線。

 矽片:中環股份電力電子器件用半導體區熔單晶矽片綜合實力全球第三,國外市 場佔有率超過 18%,國內市場佔有率超過 80%;光伏單晶研發水平全球領先, 單晶矽片產能約為 30GW,市佔率約為 30%。

 CMP拋光液:安集科技 CMP 拋光液已在 130-28nm 技術節點實現規模化銷售, 主要應用於國內 8 英寸和 12 英寸主流晶圓產線;14nm 技術節點產品已進入客戶 認證階段,10-7nm 技術節點產品正在研發中。

 CMP拋光墊:鼎龍股份 8 英寸拋光墊已經獲得國內晶圓廠華虹半導體和士蘭微 的認證並且取得訂單,12 英寸拋光墊已經獲得中芯國際的認證。2019 年上半年 已經獲得第一張 12 英寸拋光墊訂單,下半年預計將是 12 寸客戶訂單的收穫期。

半導體材料細分品種多,我們看好光刻膠領域標的公司未來的發展,特別是 PCB 光刻膠領域的前景。原因是隨著國內 5G 商用的落地,5G 基站建設將迎來高峰期, PCB 行業將迎來需求爆發。5G 基站採用 Massive MIMO 技術,將 RRU 與天線一 體化為 AAU,這將顯著增加 PCB 的使用面積。預計 PCB 的使用面積將從 4G RRU 的 0.15m2提高到 5G AAU 的 0.3m2,這將帶動國內 PCB 光刻膠需求的大幅增加。

矽片是半導體行業最重要的材料,約佔整個晶圓製造材料價值的三分之一。2018 年全球半導體矽片銷售金額為 113.8 億美元,同比 2017 年增長 30.65%。受益於國 內晶圓廠的大量投建,以及光伏行業回暖對矽片需求的回升,國內矽片的需求量將 大大增加。我們看好矽片生產商在後續的發展機遇。

我們建議關注半導體材料各細分領域龍頭企業。推薦關注光刻膠領域龍頭容大感光、 強力新材及晶瑞股份、大矽片生產商中環股份、CMP拋光墊龍頭鼎龍股份以及CMP 拋光液生產商安集科技。

1. 半導體材料:半導體產業基石

1.1 半導體材料是半導體產業鏈重要支撐

在整個半導體產業鏈中,半導體材料處於產業鏈上游,是整個半導體行業的重要支 撐。在積體電路晶片製造過程中,每一個步驟都需要用到相應的材料,如光刻過程 需要用到光刻膠、掩膜版,矽片清洗過程需要用的各種溼化學品,化學機械平坦化 過程需要用的拋光液和拋光墊等,都屬於半導體材料。

半導體材料是半導體行業的物質基礎,材料品質的好壞決定了最終積體電路晶片質 量的優劣,並影響到下游應用端的效能。因此,半導體材料在整個產業鏈中有著重 要地位。

1.2 2018 年全球半導體材料銷售額創歷史新高

2018 年全球半導體材料銷售額 519.4 億美元,銷售額首次突破 500 億美元創下歷 史新高。2018 年全球半導體材料銷售增速 10.65%,也創下了自 2011 年以來的新 高。

全球半導體材料銷售額增速與半導體銷售增速具有較高的一致性,2017 年兩者同 步高速增長的原因是DRAM市場的迅猛發展, 2017 年 DRAM實際增速高達77%。 2018 年受供求關係影響,儲存市場增速減緩,半導體銷售額及半導體材料銷售額 增速均下降。

半導體材料銷售額佔全球半導體銷售額比例在 2012 年達到峰值,佔比超過 16%, 近些年逐步下降,2018 年佔比約 11%。佔比下降的主要原因是 2013 年開始受益 於儲存市場的快速增長,半導體銷售額增速開始回升,2013-2018 年半導體銷售增 速一直高於半導體材料銷售增速。

近年來,中國大陸半導體材料的銷售額保持穩步增長。2018 年大陸半導體材料銷 售額 84.4 億美元,增速 10.62%,銷售額創下歷史新高。

受益於國內半導體行業高景氣度帶動,大陸在半導體材料銷售額增速方面一直領先 全球增速。

受益於國內晶圓廠的大量投建,國內半導體材料的需求將加速增長。據SEMI估計, 2017-2020全球將有62座新晶圓廠投產,其中26座坐落中國大陸,佔總數的42%。 半導體材料屬於消耗品,隨著大量晶圓廠建設完成,半導體材料的消耗量將大大增 加,將有力促進國內半導體材料行業的發展,國內半導體材料銷售額全球佔比將進 一步提升。我們預計 2019-2021 年,大陸半導體銷售額分別為 94.5 億美元、108.6 億美元和 128 億美元,增速分別為 12%、15%和 17.8%。

從全球國家和地區來說,中國臺灣依然是半導體材料消耗最大的地區。2018 年臺 灣地區半導體銷售額 114.5 億美元,全球佔比 22.04%。中國大陸佔比 16.25%排名 全球第三,略低於 16.79%的南韓。

1.3 晶圓製造材料是半導體材料核心

按製造工藝不同,半導體材料可以分為晶圓製造材料和封裝材料。其中,晶圓製造 材料由於技術要求高,生產難度大,是半導體材料的核心。2018 年晶圓製造材料 全球銷售額為 322 億美元,佔全球半導體材料銷售額的 62%。晶圓製造材料全球 銷售額增速 15.83%,高於全球半導體材料銷售額增速。

晶圓製造材料包含矽、掩膜版、光刻膠、電子氣體、CMP 拋光材料、溼化學品、 濺射靶材等,其中矽的佔比最高,約佔整個晶圓製造材料的三分之一。

1.4 半導體材料技術壁壘高 國內自給率低

半導體材料屬於高技術壁壘行業,特別是晶圓製造材料,技術要求高,生產難度大。 目前,半導體材料高階產品大多集中在美國、日本、德國、南韓、中國臺灣等國家 和地區生產商。國內由於起步晚,技術積累不足,整體處於相對落後的狀態。目前, 國內半導體材料主要集中在中低端領域,高階產品基本被國外生產商壟斷。如矽片, 2017 年全球五大矽片廠商佔據了全球 94%的市場份額。

近年來國內半導體材料生產商加大了研發投入,大力推進半導體材料的研發及生產, 力爭實現中國產替代。目前在部分細分領域,已經突破國外壟斷,實現規模化供貨。 如 CMP 拋光材料的龍頭企業安集科技,公司化學機械拋光液已在 130-28nm 技術 節點實現規模化銷售,主要應用於國內 8 英寸和 12 英寸主流晶圓產線;濺射靶材 龍頭江豐電子,16 奈米技術節點實現批量供貨,同時還滿足了國內廠商 28 奈米技 術節點的量產需求。

2. 半導體材料:品種多 技術壁壘高

2.1 半導體材料--矽 2.1.1 矽是最重要的半導體材料

矽是半導體行業中最重要的材料,約佔整個晶圓製造材料價值的三分之一。目前, 90%以上的積體電路晶片是用矽片作為襯底製造出來的。整個半導體產業就是建立 在矽材料之上的。

矽片品質對半導體制造至關重要。在矽片上製造的晶片最終品質與採用矽片的品質 有直接關係。如果原始矽片上游缺陷,那麼最終晶片上也肯定存在缺陷。

按晶胞排列是否規律,矽可分為單晶矽和多晶矽。單晶矽晶胞在三維方向上整齊重 復排列,而多晶矽晶胞則呈不規律排列。單晶矽在力學性質、電學性質等方面,都 優於多晶矽。積體電路製造過程中使用的矽片都是單晶矽,因為晶胞重複的單晶結 構能夠提供製作工藝和器件特性所要求的電學和機械性質。

矽片的製備從晶體生長開始,形成單晶錠後經過修整和磨削再切片,再經過邊緣打 磨、精研、拋光等步驟後,最後檢查得到的矽片是否合格。

2.1.2 單晶矽生產

單晶生長分為直拉(CZ)法和區熔(FZ)法,直拉法是目前主流的生長方法,佔 據 90%市場。

 直拉法:工藝成熟,更容易生長大直徑單晶矽,生長出的單晶矽大多用於整合電 路元件。

 區熔法:由於熔體不與容器接觸,不易汙染,因此生長出的單晶矽純度較高,主 要用於功率半導體。但區熔法較難生長出大直徑單晶矽,一般僅用於 8 寸或以下 直徑工藝。

2.1.3 大直徑是矽片未來發展方向

大尺寸矽片是矽片未來發展的趨勢。大尺寸矽片帶來的優點有兩個:

 單片矽片製造的晶片數目越多:在同樣的工藝條件下,300mm 半導體矽片的可 使用面積超過 200mm 矽片的兩倍以上,可使用率(衡量單位晶圓可生產的晶片 數量的指標)是 200mm 矽片的 2.5 倍左右,大尺寸矽片上能製造的晶片數目更 多;

 利用率更高:在圓形矽片上製造矩形的矽片會使矽片邊緣處的一些區域無法被利 用,從而帶來部分浪費,隨之晶圓尺寸的增大,損失比就會減小。

隨著半導體技術的發展和市場需求的變化,大尺寸矽片佔比將逐漸提升。目前 8 英 寸矽片主要用於生產功率半導體和微控制器,邏輯晶片和儲存晶片則需要 12 英寸 矽片。2018 年 12 英寸矽片全球市場份額預計為 68.9%,到 2021 年佔比預計提升 至 71.2%。

2.1.4 矽片市場情況

半導體矽片投入資金多,研發週期長,是技術壁壘和資金壁壘都極高的行業。由於 下游客戶認證時間長,矽片廠商需要長時間的技術和經驗積累來提升產品的品質, 滿足客戶需求,以獲得客戶認證。

目前全球矽片市場處於寡頭壟斷局面。2018 年全球半導體矽片行業銷售額前五名 企業的市場份額分別為:日本信越化學 28%,日本 SUMCO 25%,中國臺灣環球 晶圓 14%,德國 Siltronic 13%,南韓 SK Siltron 9%,前五名的全球市場市佔率接 近 90%,市場集中度高。

近年來全球半導體矽片出貨面積穩步增長。2018 年全球半導體矽片出貨面積達 127.3 億平方英寸,同比 2017 年增長 7.79%;銷售金額為 113.8 億美元,同比 2017 年增長 30.65%,單價每平方英寸 0.89 美元,較 2017 年增長 21%。

目前 12 英寸和 8 英寸矽片是市場主流。2018 年全球 12 英寸矽片需求均值在 600-650 萬片/月,8 英寸均值在 550-600 萬片/月。12 英寸矽片主要被 NAND 和 DRAM 需求驅動,8 英寸主要被汽車電子和工業應用對功率半導體需求驅動。長期 看 12 英寸和 8 英寸依然是市場的主流。

國內積極佈局大矽片生產,規劃產能大。截至 2018 年年底,根據各個公司已量產 產線披露的產能,8 英寸矽片產能已達 139 萬片/月,12 英寸矽片產能 28.5 萬片/ 月。預計 2020 年 8 英寸矽片實際月需求將達到172.5 萬片,2020 年 12 英寸矽片 實際需求為 340.67 萬片/月。為滿足國內大矽片的需求,中國正積極佈局大矽片的 生產。目前公佈的大矽片專案已超過 20 個,預計總投資金額超過 1400 億,到 2023 年 12 英寸矽片總規劃產能合計超過 650 萬片。

從國內矽片生產商來看,目前國內矽片生產商主要有上海新昇、中環股份、金瑞泓 等企業。上海新昇 12 英寸矽片產品已經通過華力微和中芯國際的認證,目前處於 國內領先地位。中環股份一期於 2019 年 2 月進行試生產 8 英寸矽片,7 月將進行 規模化投產;12 英寸功率矽片生產線將在 2019 年下半年進行裝置安裝除錯。二期 將於 2020 年開工建設,投資 15 億美元,建設兩條 12 英寸生產線,月產能 35 萬 片。

2.2 光刻膠

2.2.1 光刻原理

光刻是整個積體電路製造過程中耗時最長、難度最大的工藝,耗時佔 IC 製造 50% 左右,成本約佔 IC 生產成本的 1/3。光刻膠是光刻過程最重要的耗材,光刻膠的質 量對光刻工藝有著重要影響。

光刻是將圖形由掩膜版上轉移到矽片上,為後續的刻蝕步驟作準備。在光刻過程中, 需在矽片上塗一層光刻膠,經紫外線曝光後,光刻膠的化學性質發生變化,在通過 顯影后,被曝光的光刻膠將被去除,從而實現將電路圖形由掩膜版轉移到光刻膠上。 再經過刻蝕過程,實現電路圖形由光刻膠轉移到矽片上。在刻蝕過程中,光刻膠起 防腐蝕的保護作用。

2.2.2 光刻膠分類

根據化學反應機理和顯影原理的不同,光刻膠可以分為負性膠和正性膠。對某些溶 劑可溶,但經曝光後形成不可溶物質的是負性膠;反之,對某些溶劑不可溶,經曝 光後變成可溶的為正性膠。

從需求端來看,光刻膠可分為半導體光刻膠、面板光刻膠和 PCB 光刻膠。其中, 半導體光刻膠的技術壁壘最高。

2.2.3 光刻膠技術壁壘

光刻膠是半導體材料中技術壁壘最高的品種之一。光刻膠產品種類多、專用性強, 是典型的技術密集型行業。不同用途的光刻膠曝光光源、反應機理、製造工藝、成 膜特性、加工圖形線路的精度等效能要求不同,導致對於材料的溶解性、耐蝕刻性、 感光效能、耐熱性等要求不同。因此每一類光刻膠使用的原料在化學結構、效能上 都比較特殊,要求使用不同品質等級的光刻膠專用化學品。

光刻膠一般由 4 種成分組成:樹脂型聚合物、光活性物質、溶劑和新增劑。樹脂是 光刻膠中佔比最大的組分,構成光刻膠的基本骨架,主要決定曝光後光刻膠的基本 效能,包括硬度、柔韌性、附著力、耐腐蝕性、熱穩定性等。光活性物質是光刻膠 的關鍵組分,對光刻膠的感光度、解析度等其決定性作用。

解析度、對比度和敏感度是光刻膠的核心技術引數。隨著積體電路的發展,晶片制 造特徵尺寸越來越小,對光刻膠的要求也越來越高。光刻膠的核心技術引數包括分 辨率、對比度和敏感度等。為了滿足積體電路發展的需要,光刻膠朝著高解析度、高對比度以及高敏感度等方向發展。

2.2.4 光刻膠市場情況

目前全球光刻膠市場基本被日本和美國企業所壟斷。光刻膠屬於高技術壁壘材料, 生產工藝複雜,純度要求高,需要長期的技術積累。日本的 JSR、東京應化、信越 化學及富士電子四家企業佔據了全球 70%以上的市場份額,處於市場壟斷地位。

光刻膠市場需求逐年增加,2018 年全球半導體光刻膠銷售額 12.97 億美元。隨著 下游應用功率半導體、感測器、儲存器等需求擴大,未來光刻膠市場將持續擴大。

由於光刻膠的技術壁壘較高,國內高階光刻膠市場基本被國外企業壟斷。特別是高 解析度的 KrF 和 ArF 光刻膠,基本被日本和美國企業佔據。

國內光刻膠生產商主要生產 PCB 光刻膠,面板光刻膠和半導體光刻膠生產規模相 對較小。國內生產的光刻膠中,PCB 光刻膠佔比 94%,LCD 光刻膠和半導體光刻 膠佔比分別僅有 3%和 2%。

國內光刻膠市場規模保持穩定增長,從 2011 年的 30.4 億元增長到 2018 年的 62.3 億元,複合增長率達 11.59%。預計 2018 年國內光刻膠市場規模約為 62.3 億元。

國內光刻膠需求量方面,2011 年光刻膠需求量為 3.51 萬噸,到 2017 年需求量為 7.99 萬噸,年複合增長率達 14.69%。 2018 年國內光刻膠需求量預計為8.44 萬噸。

國內光刻膠需求量遠大於本土產量,且差額逐年擴大。由於國內光刻膠起步晚,目 前技術水平相對落後,生產產能主要集中在 PCB 光刻膠、TN/STN-LCD 光刻膠等 中低端產品,TFT-LCD、半導體光刻膠等高技術壁壘產品產能極少,仍需大量進口, 從而導致國內光刻膠需求量遠大於本土產量。

國內 PCB 光刻膠中國產替代進度快,面板光刻膠和半導體光刻膠與國外相比仍有較 大差距。從技術水平來看,PCB 光刻膠是目前中國產替代進度最快的,飛凱材料已經 在高階的溼膜光刻膠領域通過下游廠商驗證;面板光刻膠進度相對較快,目前永太 科技 CF 光刻膠已經通過華星光電驗證;半導體光刻膠目前技術較國外先進技術差 距較大,僅在 G 線與 I 線有產品進入下游供應鏈,北京科華目前 KrF(248nm)光 刻膠目前已經通過中芯國際認證,ArF(193nm)光刻膠正在積極研發中。

2.3 掩膜版

掩膜版(Photomask),又稱光罩、光掩膜、光刻掩膜版、掩模版等,是下游行業 產品製造過程中的圖形“底片”,是承載圖形設計和工藝技術等智慧財產權資訊的載 體。在光刻過程中,掩膜版是設計圖形的載體。通過光刻,將掩膜版上的設計圖形 轉移到光刻膠上,再經過刻蝕,將圖形刻到襯底上,從而實現圖形到矽片的轉移。 掩膜版是光刻過程中的重要部件,其效能的好壞對光刻有著重要影響。

2.3.1 掩膜版結構

掩膜版的構造如下圖所示,其材質根據需求不同,可選擇不同的玻璃基板。目前隨 著工藝技術的精進,以具有低熱膨脹係數、低鈉含量、高化學穩定性及高光穿透性 等特質的石英玻璃為主流,在其上鍍有約 100nm 的不透光鉻膜作為 I 作層及約 20nm 的氧化鉻來減少光反射,增加工藝的穩定性。

掩模板之所以可作為圖形轉移的一種模板,關鍵就在於有無鉻膜的存在,有鉻膜的 地方,光線不能穿透,反之,則光可透過石英玻璃而照射在塗有光刻膠的晶片上, 晶片再經過顯影,就能產生不同的圖形。也正是由於掩模板可用於大量的圖形轉移, 所以掩模板上的缺陷密度將直接影響產品的優品率。

2.3.2 掩模版缺陷及保護膜

在掩膜版的製作和使用過程中,可能會出現缺陷,從而影響到後續的使用。掩模板 上的缺陷一般來自兩個方面:

 掩模板圖形本身的缺陷:包括針孔、黑點、黑區突出、白區突出、邊緣不均及刮 傷等,此部分皆為製作過程中出現的,目前是利用目檢或機器原形比對等方式來 篩選;

 附著在掩模板上的外來物:為解決此問題,通常在掩模板上裝一層保護膜,當外 來物掉落在保護膜上時,因保護膜上物體的聚焦平面與掩模板圖形的聚焦平面不 同,因此可使小的外來物不能聚焦在晶片上,而不產生影響。

2.3.3 掩膜版市場情況

根據 SEMI 公佈資料,2018 年全球半導體掩模版銷售額為 35.7 億美元,佔到總晶 圓製造材料市場的13%。預計全球半導體掩模版市場可在2020 年達到 40 億美元。

從生產商來看,目前全球掩膜版生產商主要集中在日本和美國的幾個巨頭,包括日 本凸版印刷 TOPAN、日本大印刷,美國 Photronics,日本豪雅 HOYA,日本 SK 電子等。其中,Photronics、大日本印刷株式會社 DNP 和日本凸版印刷株式會社 Toppan 三家佔據全球掩膜版領域 80%以上市場份額。此外,晶圓製造廠也會採取 自制方式對內提供掩膜版,如英特爾、臺積電、三星等都有自制掩膜版業務。

從國內來看,目前國內掩膜版製造商主要有路維光電和清溢光電,中科院微電子所、 中國電子科技集團等科研院所內部也有自制掩膜版。國內晶圓代工廠龍頭中芯國際 也有自制掩膜版業務。

國內光掩膜版市場規模保持穩定增長,2016 年國內市場規模為 59.5 億元,規模較上年同期增長 4.94%。

國內掩膜版供需缺口逐年擴大。2011 年國內掩膜版需求 5.09 萬平方米,國內掩膜 版產量 0.87 萬平方米,淨進口量 4.22 萬平方米,2016 年國內掩膜版需求7.98 萬 平方米,國內掩膜版產量 1.69 萬平方米,供需缺口達 6.29 萬平方米。

目前中國大陸的平板顯示行業處於快速發展期,對掩膜版行業的需求持續增加。根 據 IHS 統計測算,中國大陸平板顯示行業掩膜版需求量佔全球比重,從 2011 年的 5%上升到 2017 年的 32%。未來隨著相關產業進一步向國內轉移,國內平板顯示 行業掩膜版的需求量將持續上升,預計到 2021 年,中國大陸平板顯示行業掩膜版 需求量全球佔比將達到 56%。

2.4 電子氣體

電子氣體是超大規模積體電路、平面顯示器件、化合物半導體器件、太陽能電池、 光纖等電子工業生產不可缺少的原材料,它們廣泛應用於薄膜、刻蝕、摻雜、氣相 沉積、擴散等工藝。在半導體制造過程中,幾乎每一步都離不開電子氣體,其品質 對半導體器件的效能有著重要影響。

2.4.1 電子氣體分類

純度是電子氣體最重要的指標,氣體純度常用的表示方法有兩種:

 用百分數表示:如 99%,99.9%,99.99%,99.9999%等;

 用“N”表示:如 3N,5N,5.5N等,數目 N與百分數表示中的“9”的個數相 對應,小數點後的數表示不足“9”的數,如 5.5N表示 99.9995%。

根據氣體純度不同,氣體可分為普通氣體、純氣體、高純氣體及超高純氣體 4 個等 級。

半導體制造領域,一個矽片需要經過外延、成膜、摻雜、蝕刻、清洗、封裝等多項 工藝,這個過程需要的高純電子化學氣體及電子混合氣高達 30 多種以上,且每一 種氣體應用在特定的工藝步驟中。

2.4.2 電子氣體技術壁壘

電子氣體的技術壁壘極高,最核心的技術是氣體提純技術。此外超高純氣體的包裝 和儲運也是一大難題。在半導體制造中,電子氣體純度每提升一個數量級,都會促 進器件效能的有效提升。

為了得到超高純氣體,氣體制造需要進行以下幾個步驟:

 氣體分離:氣體的分離方法有精餾法、吸附法和膜分離法。精餾法是應用最廣泛 的方法,可分為連續精餾法和間歇精餾法。連續精餾法操作時原料液連續地加入 精餾塔內,再沸器取出部分液體作為塔底產品;間歇精餾法原料液一次加入精餾 釜中,因而間歇精餾塔只有精餾段而無提餾段。

 氣體提純:氣體制造通常是先將氣體進行粗分離,再通過氣體提純技術來提高其 純度。氣體提純技術主要有化學反應法、選擇吸附法、低溫精餾法和薄膜擴散法 等。

 氣體純度檢驗:得到提純後的氣體,需對氣體進行檢測來驗證其純度。隨著電子 氣體純度越來越高,純度檢驗也越來越重要。氣體中雜質含量檢測從 10-6(ppm) 級、到 10-9(ppb)級甚至 10-12(ppt)級。

 氣體的充裝與運輸:超高純氣體對充裝和運輸都有特別的要求,要求使用特殊的 儲運容器、特殊的氣體管道及閥門介面等,避免二次汙染。

2.4.3 電子氣體應用

在半導體行業中,電子氣體作為不可或缺的原材料,在各個環節中都得到廣泛應用, 如電子級矽的製備、化學氣相沉積成膜、晶圓刻蝕工藝等過程,眾多種類的氣體都 起到了至關重要的作用。

電子級矽製備

電子級矽的製備採用西門子法還原法,在製備過程中用到的氣體有 HCl 和 H2等, 發生的化學反應包括:

SiO2+C->Si+CO2↑;Si+HCl→SiHCl3+H2↑;SiHCl3+H2→Si+HCl。

電子級矽對純度有著極高的要求,目前純度要求在 11N9 以上。未了得到電子級純 度矽,製備過程中氣體的純度要求在 6N9 以上。目前國內 12 英寸 11N9 電子級矽 基本從日本進口。

化學氣相沉積成膜

化學氣相沉積(Chemical Vapor Deposition,CVD)是利用高真空下,氣體混合發 生相關化學反應最終形成膜。典型的 CVD 成膜有二氧化矽絕緣膜製備和氮化矽絕 緣膜製備。

在二氧化矽絕緣膜製備中,SiH4是主要氣體,採用 6N9 級別的 O2、N2O 作用輔助 氣體。晶 圓加工 工藝中 生長二 氧化矽 (SiO2)絕 緣膜涉 及的化 學反應: SiH4+O2->SiO2+2H2↑;SiH4+N2O->SiO2+2N2+H2。

在 氮 化 矽 絕 緣 膜 制 備 中 , 氮化矽(Si3N4) 絕 緣 膜 涉 及 的 化 學 反 應 有 : 3SiH4+4NH3->Si3N4+12H2;3SiH2Cl2+4NH3->Si3N4+6HCl+6H2。

目前國內在建晶圓加工產線在製備半導體膜和絕緣層的過程中涉及的電子特種氣 體包括 SiH4、SiCl4、SiHCl3、SiH2Cl2、AsCl3 等原料氣體,以及 H2、HCl、O2、 N2O、NH3等反應氣體。在國內半導體發展的過程中,實現 6N9 以上純度的反應氣 體存在較大市場空間。

晶圓刻蝕工藝

在矽基底刻蝕中,主要選用氟基氣體,例如氟利昂-14(CF4),在此過程中需要刻 蝕部位的Si與CF4反應生成SiF4而除去,其化學反應式為:Si+CF4+O2->SiF4+CO2。

氟利昂-116(C2F6)和氟利昂-23(CHF3)在刻蝕矽時容易產生聚合膜從而影響刻 蝕效果,但是在刻蝕 SiO2的時候不會出現此類現象,因此可用於 SiO2的刻蝕。同 時由於半導體 Si 薄膜存在各向同性的特點,刻蝕選擇性差,因此後續開發中引入 氯基(Cl2)和溴基(Br2、HBr)作用,最終生成物中還包括 SiBr4和 SiCl4從而提 高選擇性。

目前國內在建產線彙總涉及薄膜的氣體包括 CF4、C2F6、CHF3、Cl2、Br2、HBr 和 CH2F2 等,但是此類刻蝕氣體用量相對較少,刻蝕過程中需與相關惰性氣體 Ar、 N2等共同作用實現刻蝕程度的均勻。

2.4.4 電子氣體市場情況

隨著積體電路製造產業的發展,全球積體電路用電子氣體的市場規模也逐漸擴大。 2018 年全球積體電路用電子氣體市場規模達到 45.12 億美元,同比增長 15.93%。

電子氣體純度要求高,製備難度大,目前以美國空氣化工、美國普萊克斯、德國林 德集團、法國液化空氣和日本大陽日酸株式會社為首的五大氣體公司控制著全球 90% 以上的電子氣體市場份額。

國內情況:2018 年國內半導體用電子特氣市場規模約 4.89 億美元。經過 30 多年 的發展,中國半導體用電子特氣已經取得了不錯的成績,中船重工 718 所、綠菱電 子、廣東華特等均在 12 英寸晶圓用產品上取得了突破,並且實現了穩定的批量供 應。2018 年 5 月,中船重工 718 所舉行二期專案開工儀式,2020 年全部達產後, 將年產高純電子氣體 2 萬噸,三氟化氮、六氟化鎢、六氟丁二烯和三氟甲基磺酸4 個產品產能將居世界第一。

2.5 溼化學品

溼化學品(Wet Chemicals), 是微電子、光電子溼法工藝製程中使用的各種電子化 工材料。溼化學品在半導體領域主要應用於積體電路製造過程中的清洗和腐蝕步驟, 其純度和潔淨度影響著積體電路的效能及可靠性。

2.5.1 溼化學品分類

按應用領域劃分,溼化學品主要應用於半導體、平板顯示、太陽能以及 LED 等領 域。其中,半導體制造領域對溼化學品的要求最高,技術難度最大。

為了適應電子資訊產業微處理工藝技術水平不斷提高的趨勢,並規範世界超淨高純 試劑的標準,國際半導體裝置與材料組織(SEMI)將超淨高純試劑按金屬雜質、 控制粒徑、顆粒個數和應用範圍等指標制定國際等級分類標準。

2.5.2 典型溼化學品製備

電子級硝酸

使用原料槽罐車將檢測合格後的硝酸原材料輸入原料罐,經過連續蒸餾塔、粗過濾系統、雙級過濾系統和自動灌裝系統等提純加工、高純檢測等工藝後,按照產品規 格檢測,合格後填充入庫。

電子級氫氟酸

將合格的氫氟酸原料通過原料儲槽輸入蒸餾塔預經處理後,經過檢驗、過程產品檢 測粗過濾、精過濾、自動灌成品檢驗等過程合格後由成品槽罐車運輸入庫。

電子級氨水

將檢測合格後的氨水原材料輸入粗過濾系統,將氣體通過管路輸送至吸收塔,經過 迴圈吸收後輸入混配罐,按照過程產品檢測合格後輸入粗過濾系統雙級過濾後輸入 精過濾系統,檢測合格後輸入自動灌裝系統灌裝,按照最終產品要求檢測合格後通 過水流包裝線包裝入庫。

2.5.3 溼化學品市場情況

目前全球溼化學品的市場主要分為三大塊:歐美企業、日本企業、以及南韓、中國 大陸和臺灣地區企業。

 歐美企業:主要有德國巴斯夫(Basf)公司、美國 Ashland 公司、美國 Arch 化 學品公司、美國霍尼韋爾公司、AIR PRODUCTS、德國 E.Merck 公司、美國 Avantor Performance Materials 公司、ATMI 公司等。歐美企業佔據全球 33%的 市場份額。

 日本企業:主要企業包括關東化學公司、三菱化學、東京應化、京都化工、日本 合成橡膠、住友化學、和光純藥工業(Wako)、 stella-chemifa 公司等。日本企 業佔全球 27%的市場份額。

 南韓、中國大陸及臺灣地區企業:三者佔比總計 38%,其中南韓、臺灣企業在生 產技術上具有一定優勢,在高階市場領域與歐美、日本企業相比也有一定的競爭 力。中國大陸溼電子化學品企業距世界整體水平還有一定距離,近年來,包括格 林達在內的溼電子化學品企業持續技術創新,在個別領域已接近國際領先水平。

受益於半導體、平板顯示以及太陽能等下游產業的快速發展,溼電子化學品近年的 發展也非常迅速。2018 年,全球溼電子化學品市場規模約 52.65 億美元。應用量 方面,半導體市場應用量約 132 萬噸,平板顯示市場應用量約 101 萬噸,太陽能電 池領域應用達 74 萬噸,三大市場應用量共計達到 307 萬噸。預計到 2020 年,全 球溼電子化學品整體市場規模將達到 58.5 億美元,在全球三大領域應用量達到 388 萬噸,複合增長率約 12.42%。

2018 年國內溼電子化學品整體市場規模 79.62 億元,同比增速 4.09%,需求量約 為 90.51 萬噸。預計到 2020 年,國內溼電子化學品市場規模有望突破 105 億元, 需求量也將達到 147.04 萬噸。

隨著國內半導體行業、平板顯示行業以及太陽能行業的快速發展,溼電子化學品的 需求也迎來增長,促進了整個溼電子化學品行業的迅速發展。2012 年國內溼電子 化學品產量 18.7 萬噸,2018 年產量達到49.5 萬噸,年均複合增長率達 17.61%。

從下游領域需求細分情況來看,2018 年半導體行業溼電子化學品需求量為 28.27 萬噸,平板顯示行業需求量為 34.08 萬噸,太陽能行業需求量為 28.16 萬噸,相比 2017 年都有所增加,特別是平板顯示行業,需求增加明顯。

國內溼電子化學品由於起步較晚,技術水平與國際先進水平有一定差距。但在某些 領域已經具備一定的競爭力。

 2018 年 4 月下旬,晶瑞化學依託下屬子公司年產 30 萬噸的優質工業硫酸原材料 優勢,並結合從日本三菱化學株式會社引進的電子級硫酸先進製造技術,投資建 設年產 9 萬噸/年的電子級硫酸專案。

 2018 年第三季度,湖北興福的電子級硫酸技術攻關取得重大突破,產品品質超 越 SEMI C12 級別,與國際電子化學品最大供應商巴斯夫的產品品質處於同一級 別,並向部分國內 12 英寸晶圓廠穩定供貨。

 國內溼電子化學品龍頭企業江化微,年產 8 萬噸的超高純溼電子化學品生產基地 已達到國際規模水平。

2.6 濺射靶材

濺射靶材是物理氣相沉積(PVD)工藝步驟中所必需的材料,是製備薄膜的關鍵材 料。濺射工藝是利用離子源產生的離子,在真空中被加速形成告訴離子流,利用高 速粒子流轟擊固體表面,使得固體表面的原子脫離靶材沉積在襯底表面,從而形成 薄膜。這個薄膜的形成過程稱為濺射,被轟擊的固體被稱為濺射靶材。靶材是濺射 過程的核心材料。

2.6.1 靶材分類

濺射靶材種類繁多,依據不同的分類標準,可以有不同的類別。濺射靶材可按形狀 分類、按化學成份分類以及按應用領域分類。

濺射靶材的應用領域廣泛,由於應用領域不同,濺射靶材對金屬材料的選擇和效能 要求都有所不同。其中,半導體晶片對靶材的技術要求最高,對金屬的純度、內部 微觀結構等都有極高的標準。

2.6.2 靶材製備方法

按生產工藝的不同,濺射靶材的製備可分為熔融鑄造法和粉末冶金法。

熔融鑄造法

熔融鑄造法是製備磁控濺射靶材的基本方法之一,常用的熔鍊方法有真空感應熔鍊、 真空電弧熔鍊和真空電子轟擊熔鍊等。高純金屬如 Al、Ti、Ni、Cu、Co、Ta、Ag、 Pt 等具有良好的塑性,直接在原有鑄錠基礎上進一步熔鑄後,進行鍛造、軋製和熱 處理等熱機械化處理技術進行微觀組織控制和坯料成型。

與粉末冶金法相比,熔融鑄造法生產的靶材產品雜質含量低,緻密度高,但材料內 部存在一定孔隙率,需後續熱加工和熱處理工藝降低其孔隙率。

粉末冶金法

粉末冶金法是目前濺射靶材的主要製備方法,具有容易獲得均勻細晶結構、節約原 材料、生產效率高等優點。通常,熔融鑄造法無法實現難熔金屬濺射靶材的製備。 對於熔點和密度相差較大的兩種或兩種以上的金屬,採用普通的熔融鑄造法,一般 也難以獲得成分均勻的合金靶材。對於無機非金屬靶材、複合靶材,熔融鑄造法更 是無能為力,而粉末冶金法是解決製備上述靶材技術難題的最佳途徑。

粉末冶金法制備靶材時,其關鍵在於:一是選擇高純、超細粉末作為原料;二是選 擇能實現快速緻密化的成形燒結技術,以保證靶材的低孔隙,並控制晶粒度;三是 製備過程嚴格控制雜質元素的引入。

2.6.3 靶材技術發展趨勢

提高濺射靶材利用率

由於濺射離子不規則的作用關係,濺射靶材在濺射過程中容易產生不均勻的沖蝕現 象,從而造成濺射靶材的利用率普遍較低。近年來,通過改善濺射機臺以及加強產 品研發,使得濺射靶材的利用率有所提高,但仍然有很大的提升空間。如何濺射靶 材利用率是今後靶材研究的一個重要方向。

精確控制濺射靶材晶粒晶向

當濺射靶材受到高速度能的離子束流轟擊時,由於濺射靶材內部空隙記憶體在的氣體 突然釋放,造成大尺寸的濺射靶材微粒飛濺,這些微粒的出現會降低濺射薄膜的品 質甚至導致產品報廢,例如在極大規模積體電路製作工藝過程中,每 150mm 直徑 矽片所能允許的微粒數必須小於 30 個。怎樣控制濺射靶材的晶粒,解決濺射過程 中的微粒飛濺現象成為濺射靶材的研發方向之一。

在濺射過程中,濺射靶材中的原子容易沿著特定的方向濺射出來,而濺射靶材的晶 向能夠對濺射速率和濺射薄膜的均勻性產生影響,最終決定產品的品質,因此,獲 得一定晶向的靶材結構至關重要。但要使濺射靶材內部獲得一定晶向,存在較大的 難度,需要根據濺射靶材的組織結構特點,採用不同的成型方法,進行反覆的塑性 變形、熱處理工藝加以控制。

濺射靶材向大尺寸、高純度化發展

濺射靶材的技術發展趨勢與下游應用領域的技術革新息息相關,隨著應用市場在薄 膜產品或元件上的技術進步,濺射靶材也需要隨之變化。在下游應用領域中,半導 體產業對濺射靶材和濺射薄膜的品質要求最高,隨著更大尺寸的矽晶圓片製造出來, 相應地要求濺射靶材也朝著大尺寸方向發展,同時也對濺射靶材的晶粒晶向控制提 出了更高的要求。濺射薄膜的純度與濺射靶材的純度密切相關,為了滿足半導體更 高精度、更細小微米工藝的需求,所需要的濺射靶材純度不斷攀升,甚至達到 99.9999%(6N)純度以上。

2.6.4 靶材市場情況

根據中國電子材料行業協會的統計, 2016 年全球濺射靶材市場規模113.6 億美元, 其中平板顯示領域市場規模 38.1 億美元,佔比 33.54%,半導體領域市場規模 11.9 億,太陽能領域規模 23.4 億美元。

在濺射靶材領域,美國、日本企業佔據全球市場主要份額。濺射靶材是典型的高技 術壁壘行業,由於靶材起源發展於國外,高階產品被以美日為代表的國外企業所壟 斷。日礦金屬、霍尼韋爾、東曹、普萊克斯、住友化學、愛發科等佔據全球靶材市 場主要份額。

從國內情況來看,2015 年國內高純濺射靶材市場規模 153.5 億元,其中平板顯示 領域市場規模達69.3億元,佔比45.15%。近幾年隨著國內半導體產業的迅速發展, 國內晶圓廠迎來投建高峰,半導體材料領域市場規模將得到快速增長。

國內濺射靶材行業雖然起步晚,但在國家政策和資金的支援下,目前已有個別龍頭 企業在某些細分領域突破國外壟斷,依靠價格優勢在國內靶材市場佔有一定份額。 國內濺射靶材企業主要有江豐電子、阿石創、有研新材等。其中,江豐電子的超高 純金屬濺射靶材產品已應用於世界著名半導體廠商的先端製造工藝,在 7 奈米技術 節點實現批量供貨。

2.7 CMP 拋光材料

化學機械拋光(CMP,Chemical Mechanical Polishing)是積體電路製造過程中實 現晶圓表面平坦化的關鍵工藝。CMP 技術是使用效果最好,應用最廣泛的平坦化 技術,同時也是目前實現全域性平坦化的唯一技術。

CMP 工藝是機械拋光和化學拋光相結合的技術。單純的機械拋光表面一致性好, 平整度高,但表面容易出現損失;化學拋光速率快,表面光潔度高,損失低,但表 面平整度差。CMP 工藝則兩種拋光的完美結合,既可獲得較為完美的表面,又可 得到較高的拋光速率,得到的平整度比其他方法高兩個數量級。

CMP 工藝通過表面化學作用和機械研磨技術相結合實現晶圓表面的平坦化,其工 作原理是通過各類化學試劑的化學作用,結合奈米磨料的機械研磨作用,在一定壓 力下被拋光的晶圓對拋光墊做相對運動,從而使得被拋光的晶圓表面達到高度平坦 化、低表面粗糙度和低缺陷的要求。

CMP 工藝過程用到的材料有拋光液、拋光墊、調節器等,其中拋光液和拋光墊是 最核心的材料,佔比分別為 49%和 33%。

2.7.1 拋光液

拋光液的主要成分包含研磨顆粒、各種新增劑和水,其中研磨顆粒主要為矽溶膠和 氣相二氧化矽。拋光液原料中新增劑的種類可根據實際需求進行配比,如金屬拋光 液中有金屬絡合劑、腐蝕抑制劑等,非金屬拋光液中有各種調節去除速率和選擇比 的新增劑。

拋光液的核心技術是新增劑配方,這直接決定了最終的拋光效果。根據拋光的物件 不同,可以調整拋光液的配方,從而達到更好的拋光效果。目前,拋光液的配方是 各個公司的核心技術,也是拋光液的技術壁壘所在。

2.7.2 拋光墊

拋光墊粘附在轉盤的上表面,它是在 CMP 中決定拋光速率和平坦化能力的一個重 要部件。為了能控制磨料,拋光墊通常用聚亞胺脂做成,因為聚亞胺脂有像海綿一 樣的機械特性和多孔吸水特性。拋光墊中的小孔能幫助傳輸磨料和提高拋光均勻性。

拋光墊表面會變得平坦和光滑,達到一種光滑表面的狀態,這種光滑表面的拋光墊 不能儲存拋光磨料,會顯著降低拋光速率。因此拋光墊要求進行定期修整來降低光 滑表面的影響。修整的目的是要在拋光墊的壽命期間獲得一致的拋光效能。

CMP 技術中,在拋光墊的壽命期間,控制拋光墊的性質以保證重複的拋光速率是 一項最大的挑戰。拋光速率是在平坦化過程中材料被去除的速度,單位通常是奈米 每分鐘。

拋光墊的技術壁壘主要是溝槽的設計及提高使用壽命。溝槽使得拋光過程中的碎屑 更容易流走,從而得到更為平整的矽片表面。拋光墊由於是消耗品,所以提高使用 壽命能降低工藝成本。

2.7.3 CMP拋光材料市場情況

根據 Cabot Microelectronics 官網公開披露的資料,2016 年、2017 年和2018 年全 球化學機械拋光液市場規模分別為 11.0 億美元、12 億美元和 12.7 億美元,預計2017-2020 年全球 CMP 拋光液材料市場規模年複合增長率為 6%。拋光墊方面, 2016-2018 年全球化學機械拋光墊市場規模分別為 6.5 億美元、7 億美元和 7.4 億 美元。

全球化學機械拋光液市場主要被美國和日本企業壟斷,主要企業包括美國的 Cabot Microelectronics 、 Versum 和 日本 的 Fujifilm 等 。 其 中, 2017 年,Cabot Microelectronics 是全球拋光液市場的龍頭企業,市佔率最高,但已經從2000 年的 約 80%下降至 2017 年的約 35%。國內方面,在高階半導體領域用拋光液領域,安 集科技是龍頭企業。公司已完成銅及銅阻擋層等不同系列 CMP 拋光液產品的研發 及產業化,部分產品技術水平處於國際先進地位。

在拋光墊方面,全球市場幾乎被美國陶氏所壟斷,陶氏佔據了全球拋光墊市場約 79% 的市場份額。國外其他拋光墊生產商有美國的 Cabot Microelectronics、日本東麗、 臺灣三方化學等。目前國內從事拋光墊材料生產研究的只有兩家企業:鼎龍股份和 江豐電子。鼎龍股份目前是國內拋光墊研發和生產龍頭企業,8 英寸拋光墊已經獲 得國內晶圓代工廠訂單,12 英寸拋光墊已經獲得中芯國際的認證,2019 年上半年 也獲得第一張 12 英寸拋光墊訂單。江豐電子聯合美國嘉柏微電子材料股份有限公 司,就拋光墊專案進行合作。

3. 國內半導體材料龍頭企業

3.1 上海新昇半導體

上海新昇半導體科技有限公司是國內大矽片龍頭生產商,成立於 2014 年 6 月,坐 落於臨港重灌備區內,佔地 150 畝。新昇半導體第一期目標緻力於在中國研究、開 發適用於 40-28nm 節點的 300mm 矽單晶生長、矽片加工、外延片製備、矽片分析 檢測等矽片產業化成套量產工藝;建設 300 毫米半導體矽片的生產基地,實現 300 毫米半導體矽片的中國產化,充分滿足中國極大規模積體電路產業對矽襯底基礎材料 的迫切要求。

公司 2016 年 10 月成功拉出第一根 12 英寸單晶矽錠,2017 年打通 12 英寸矽片全 工藝流程,從 2017 年第二季度已經開始向中芯國際等晶片代工企業提供 40-28nm 工藝節點 12 英寸矽片樣片進行認證,並有擋片、陪片、測試片等產品持續銷售,正式出貨並實現小批量銷售。

2018 年實現了 12 英寸矽片的規模化生產;一季度末,通過上海華力微電子有限公 司的認證並開始銷售。2018 年底,上海新昇公司大矽片已通過中芯國際認證。2018 年實現收入超過 2 億元。在第一期月產能 10 萬矽片產能建設完成的同時,啟動第 二個月產能 10 萬矽片產能的建設。

目前公司正在研發 20-14nm 工藝節點 12 英寸矽片,規劃建設月產能達 5 萬片 20-14nm 工藝節點 12 英寸矽片生產線。

公司預計 2019 年實現月產能 20 萬片,2020 年底實現月產能 30 萬片,最終將形 成月產 60 萬片 12 英寸矽片的產能。未來甚至可能高達月產 100 萬片規模。

3.2 中環股份

中環股份致力於半導體節能產業和新能源產業,是一家集科研、生產、經營、創投 於一體的國有控股高新技術企業,擁有獨特的半導體材料-節能型半導體器件和新能 源材料-高效光伏電站雙產業鏈。公司主導產品電力電子器件用半導體區熔單晶矽 片綜合實力全球第三,國外市場佔有率超過 18%,國內市場佔有率超過 80%;光 伏單晶研發水平全球領先,先後開發了具有自主智慧財產權的轉換效率超過 24%的高 效 N型 DW 矽片,轉換效率達到 26%、“零衰減”的 CFZ-DW(直拉區熔)矽片。 單晶晶體晶片的綜合實力、整體產銷規模位列全球前列,高效 N型矽片市場佔有率 全球第一。

江蘇中環領先總部

總投資 30 億美元的中環領先積體電路用大直徑矽片專案,該專案主要產品為 8— 12 英寸拋光矽晶片,是製造積體電路的主要原材料。專案分兩期實施,一期投資 15 億美元,裝備投入 60 億元,於 2017 年 12 月底開工,建設三條 8 英寸生產線, 產能 75 萬片/月;一條 12 英寸生產線,產能15 萬片/月。二期投資 15 億美元,預 計將於 2020 年開工,建設兩條 12 英寸生產線,產能 30 萬片/月。專案全部投產後, 中環領先將實現8 英寸大矽片進入世界前三、12 英寸大矽片進入世界前五的目標, 突破國外公司對大矽片的技術封鎖和市場壟斷。

內蒙古中環領先半導體材料有限公司

主要生產 3/4/5/6 英寸的直拉矽單晶棒。目前,內蒙領先公司生產車間主要位於內 蒙古中環產業園區的一期和三期。三期車間含 45 臺單晶爐裝置,主要生產3/4/5/6 英寸的直拉矽單晶棒,產能約在 30 噸/月;10 臺單晶爐裝置主要用於生產8 英寸重 摻矽單晶棒,產能約在 10 噸/月。一期車間,是目前正在改造的千級區域淨化,後 續主要是用於 8/12 英寸輕摻產品研發和生產,該區域包括 10 臺 8 英寸單晶爐裝置 和 4 臺 12 英寸單晶爐裝置。

天津中環領先材料技術有限公司

中環領先的 8 英寸半導體區熔矽片實現量產,產能已陸續釋放,進一步確立了公司 在區熔拋光片市場的地位。2018 年公司 8 英寸拋光片月產能已達到 30 萬片,年產 量為 3.8 億平方英寸;8 寸區熔單晶矽片主要是滿足 IGBT 器件領域。12 英寸拋光片試驗線實現月產能 2 萬片,是中國大陸第一家、全球第三家做 12 英寸功率矽片 的工廠,目前有約 10 家客戶在認證。

公司 2019 年上半年實現營業收入 79.4 億元,較上年同期增長 22.91%;歸母淨利 潤 4.52 億元,較上年同期增長 50.69%。在半導體產業領域,2019 年上半年公司 產品在國際一流客戶銷售佔比同比提升 2 倍以上,為後續公司業務的持續增長打下 良好基礎。

我們預計公司 2019~2021 年的營業收入分別為 180.8 億元、244.5 億元和 326.0 億元,歸屬於上市公司股東淨利潤分別為 10.45 億元、17.04 億元和 24.74 億元, 每股收益分別為 0.38 元、0.62 元和 0.90 元,對應 PE 分別為 32X、19X、13X, 給予“買入”評級。

3.3 強力新材

公司是一家以應用研究為導向,立足於產品自主研發創新的高新技術企業,專業從 事電子材料領域各類光刻膠專用電子化學品的研發、生產和銷售及相關貿易業務。 公司主要產品為光刻膠專用化學品,分為光刻膠用光引發劑(包括光增感劑、光致 產酸劑等)和光刻膠樹脂兩大系列。

公司的產品按照應用領域分類,主要有印製電路板(PCB)光刻膠專用化學品(光 引發劑和樹脂)、液晶顯示器(LCD)光刻膠光引發劑、半導體光刻膠光引發劑及 其他用途光引發劑四大類。

光固化材料、光刻膠雖然都是由光引發劑(或光敏劑)、樹脂、單體(或活性稀釋 劑)三種主要化學品原料和其他助劑組成的,但光刻膠需要使用專用的化學品原料。 光刻膠是成像材料,和光固化材料相比,用途不同,使用的曝光光源和光能不同, 反應機理不完全相同,對於材料的溶解性、耐蝕刻性、感光效能、耐熱性等要求不 同,各類光刻膠使用的光引發劑、樹脂、單體等原料需要化學結構不同、效能各異 的專用化學品。而且光刻膠用於加工製作非常精細的圖形線路,對原材料的純度、 雜質、金屬離子含量等有非常高的要求。

2019 年上半年,公司實現營業收入 429,612,059.98 元,較上年同期增長 18.34%; 實現淨利潤 8750.31 億元,同比增長 11.00%。

我們預計公司 2019~2021 年的營業收入分別為 8.7 億元、11.2 億元和 14.2 億元, 歸屬於上市公司股東淨利潤分別為 1.66 億元、1.95 億元和 2.23 億元,每股收益分 別為 0.61 元、0.72 元和 0.82 元,對應 PE 分別為 22X、18X、16X。給予“買入” 評級。

3.4 容大感光

經過多年的發展,公司已逐步形成了 PCB 感光油墨、光刻膠及配套化學品、特種 油墨三大系列多種規格的電子化學產品。

公司 PCB 油墨產品以感光油墨為主,主要應用於 PCB 領域,按用途不同又可分為 PCB 感光線路油墨、PCB 感光阻焊油墨和其他油墨等。公司的 PCB 感光線路油墨 具備以下特點:感光速度快、解像度高、附著力好、抗電鍍、抗蝕刻性好、容易褪 膜等特點;公司的 PCB 感光阻焊油墨除具備常規效能外,還有工藝使用寬容度大、 耐熱衝擊性好、批次穩定性高等特點。

公司的光刻膠產品主要包括紫外線正膠、紫外線負膠兩大類產品以及稀釋劑、顯影 液、剝離液等配套化學品,主要應用於平板顯示、發光二極體及積體電路等領域。

公司的特種油墨產品主要用於觸控式螢幕、視窗玻璃、智慧手機等產品的精密加工領域。

公司經過多年的自主研發和實踐積累,掌握了樹脂合成、光敏劑合成、配方設計及 製造工藝控制等電子感光化學品核心技術。

2019 上半年度公司實現營業收入為 20,860.50 萬元,比去年同期增長 6.09%;歸 屬於上市公司股東的淨利潤 1,846.02 萬元,比去年同期減少 4.12%;基本每股收 益為 0.15 元,與去年同期持平。

我們預計公司 2019~2021 年的營業收入分別為 4.8 億元、6.0 億元和 7.7 億元,歸 屬於上市公司股東淨利潤分別為 0.47 元、0.59 億元和 0.75 億元,每股收益分別為 0.39 元、0.49 元和 0.62 元,對應 PE 分別為 64X、51X、40X。給予“買入”評級。

3.5 晶瑞股份

蘇州晶瑞化學股份有限公司 2001 年 11 月註冊成立,位於蘇州市吳中經濟開發區澄 湖東路,是一家生產銷售微電子業用超純化學材料和其他精細化工產品的上市企業。 2019 年 7 月 31 日,晶瑞股份釋出公告稱,公司已與安徽省精細化工產業有機合成 基地管理委員會(以下簡稱“安徽精細化工管理委員會”)簽署了專案投資協議書, 擬在安徽省精細化工產業基地投資建設年產5.4 萬噸微電子材料及迴圈再利用專案, 專案計劃總投資額約 2 億元。其中一期投資額為 1 億元,專案用地面積約為 58 畝。

公司注重技術的積累和創新,開發了一批技術領先、具有全球競爭力的主導產品。 其中雙氧水、氨水量產達到 G5 等級,這兩個產品將與引進日本技術的超純硫酸(G5 等級)構成超純產品組合,有望整體解決中國半導體用量最大的超純試劑中國產化問 題,以上三種超純試劑產品約佔半導體全部超純試劑用量的七成。氟化銨、硝酸、 鹽酸、氫氟酸達到 G3、G4 等級,這些超高純度產品為半導體材料逐步實現進口替 代提供了有力的保證。

公司光刻膠產品達到國際中高階水準,i 線光刻膠已向中芯國際、揚傑科技、福順 微電子等客戶供貨,KrF(248nm 深紫外)光刻膠完成中試,產品解析度達到了 0.25~0.13μm 的技術要求,建成了中試示範線。

公司 2019 年上半年實現營業總收入 3.75 億元較上年同期增長 2.24%,實現歸屬於 上市公司股東的淨利潤為 1443.87 萬元,較上年同期下跌 39.62%。

 業務經營方面:2019 年上半年度,公司營業收入與上年相比略有增長。分產品 來看,超淨高純試劑的營業收入比上年同期有所下降,原因為:公司對光伏行業 的超淨高純試劑營業收入較上年同期有所減少;功能性材料、鋰電池材料、基礎 化工材料、蒸汽的營業收入比上年同期有所增長。

 技術研發和客戶開拓方面:2019 年半年度,公司持續投入研發資源,研發能力取得 長足進步。

我們預計公司2019~2021 年的營業收入分別為10.0 億元、12.9 億元和 16.8 億元, 歸屬於上市公司股東淨利潤分別為 0.58 億元、0.78 億元和 0.96 億元,每股收益分 別為 0.38 元、0.51 元和 0.63 元,對應 PE 分別為 53X、39X、31X。給予“買入” 評級。

3.6 北京科華

北京科華微電子材料有限公司是一家中美合資企業,成立於 2004 年,是一家產品 覆蓋 KrF(248nm)、I-line、G-line、紫外寬譜的光刻膠及配套試劑供應商與服務商, 也是集先進光刻膠產品研、產、銷為一體的擁有自主智慧財產權的高新技術企業。

科華微電子擁有中高檔光刻膠生產基地:2005 年,建成百噸級環化橡膠系紫外負 性光刻膠和千噸級負性光刻膠配套試劑生產線;2009 年 5 月,建成高檔 G/I 線正膠 生產線(500 噸/年)和正膠配套試劑生產線(1000 噸/年);2012 年 12 月,科華 微電子建成 248nm 光刻膠生產線。

2019 年 5 月 24 日國家科技重大專項(02 專項)極紫外光刻膠專案順利通過國家 驗收。“極大規模積體電路製造裝備與成套工藝”專項(02 專項)專案“極紫外光 刻膠材料與實驗室檢測技術研究”由中國科學院化學研究所、中國科學院理化技術 研究所、北京科華微電子材料有限公司聯合承擔。經過專案組全體成員的努力攻關, 完成了 EUV 光刻膠關鍵材料的設計、製備和合成工藝研究、配方組成和光刻膠制 備、實驗室光刻膠效能的初步評價裝備的研發,達到了任務書中規定的材料和裝備 的考核指標。

目前公司的 KrF(248nm)光刻膠目前已經通過中芯國際認證,ArF(193nm)光 刻膠正在積極研發中。公司成立了“寧波南大光電材料有限公司”,全力推進“ArF 光刻膠開發和產業化專案”的落地實施。

近期沃衍資本攜手江蘇盛世投資、紫荊資本、深圳市投控通產新材料創業投資企業、 四川潤資、北京高盟新材料等投資機構完成了對國內光刻膠領頭企業—北京科華微 電子材料有限公司 1.7 億元的投資。

3.7 清溢光電

深圳清溢光電股份有限公司創立於 1997 年 8 月,位於有“南中國的矽谷”之稱的 深圳市高新技術產業園區,由清溢精密光電(深圳)有限公司整體改制而來,註冊 資本為 2 億元人民幣,主要從事掩膜版的研發、設計、生產和銷售業務,是國內成 立最早、規模最大的掩膜版生產企業之一。

公司主要從事掩膜版的研發、設計、生產和銷售業務,是國內成立最早、規模最大的掩膜版生產企業之一。公司產品主要應用於平板顯示、半導體晶片、觸控、電路 板等行業,是下游行業產品製程中的關鍵工具。

憑藉優質的產品及服務,公司與下游眾多知名企業建立了良好的合作關係。在平板 顯示領域,公司擁有京東方、天馬、華星光電、群創光電、瀚宇彩晶、龍騰光電、 信利、中電熊貓、維信諾等客戶;在半導體晶片領域,公司已開發中芯國際、英特 爾、艾克爾、頎邦科技、長電科技、士蘭微等客戶。

2008年,公司投產國內第一張5代TFT-LCD用掩膜版,配套中國下游5代TFT-LCD 產業的掩膜版中國產化;2014 年至今,公司先後研發投產國內第一張8.5 代 TFT-LCD 掩膜版、5.5 代 LTPS 用掩膜版,配套下游大尺寸高精度的掩膜版中國產化。

2017 年 6 月,公司成功投產高精度大尺寸平板顯示掩膜版產線,開始具備生產高 精度大尺寸掩膜版產品的能力,並於 2018 年實現量產。2018 年下半年,公司開始 進行 5 代多柵產品技術的研發,並計劃針對 HTM 掩膜版產品進行產業化開發,針 對 PSM 掩膜版產品進行技術開發。

2019 年 1 月,清溢合肥專案的開工建設,標誌著我區在持續發展顯示產業的道路 上又邁出了關鍵一步。該專案總投資 10 億元,佔地面積 50 畝,產品定位在高階 AMOLED 及 LTPS 用掩膜版,滿產後將年產高精度掩膜版 2000 張。

公司 2016-2018 年實現營業收入分別為3.15 億、3.19 億和 4.07 億元,2018 年增 速達 27.6%,營收增速明顯提升。淨利潤方面,2018 年實現淨利潤 0.63 億元,淨 利潤增速高達 61.5%。營業收入和淨利潤的增長速度較快,主要原因系:

 隨著新增生產裝置開始釋放產能,以及公司製程能力、工藝水平的提升,公司在 中高階掩膜版的產能瓶頸得到有效緩解,而下游平板顯示產業、晶片半導體產品 的掩膜版需求持續旺盛,公司營業收入受石英掩膜版產銷量水平的拉動獲得 27.55%的增長;

 隨著公司整體產銷規模的提升,以及掩膜版產品結構向更高精度的方向升級,使 得規模效應日益顯著,單位制造費用和期間費用率水平均有所下降,淨利潤增長 速度高於營業收入增速。

3.8 路維光電

路維光電股份有限公司是高科技、高附加值、高技術密集型企業,總部位於深圳市南山區科技園。公司自 1997 年成立至今一直致力於各類掩膜產品的專業生產,在 中國掩膜版行業擁有 20 年顯著的技術及行業優勢,集研發、生產、銷售於一身, 是國內首家上市光刻掩膜版國家級高新技術企業。

2019 年 6 月 27 日 11 時,路維光電產業園開園儀式隆重舉行,路維光電股東方、 園區規劃建設方代表以及成都路維全體員工到場,共同見證路維光電產業園開園。

路維光電產業園佔地面積 36000 多平方米,計劃分兩期建設6 條高世代掩膜版生產 線,打造國內規模最大的光掩膜生產基地。產業園專注研發生產高世代、高精度 TFT-LCD 掩膜產品以及新型掩膜技術的研發,專案建成後將成為中國最大的掩膜 版製造基地。專案計劃建設六條高世代掩膜版生產線,分兩期建設。專案一期建設 1 條 11 代和 1 條 8.5 代光掩膜版生產線。

……

  • 整治雙十一購物亂象,國家再次出手!該跟這些套路說再見了
  • 每日優鮮前置倉領先者,每日優鮮將升級為前置倉3.0